您好,欢迎光临本网站![请登录][注册会员]  

行业下载,交通下载列表 第1307页

« 1 2 ... .02 .03 .04 .05 .06 1307.08 .09 .10 .11 .12 ... 1427 »

[交通] 交通灯控制逻辑电路设计.doc

说明: 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。
<chaoaiyue> 上传 | 大小:91kb

[交通] GPS原理及其应用课件

说明: GPS原理及其应用西南交通大学课件 人类从直立并漫游世界时就开始寻找一种简单方式确定他所在位置和方向。如:堆石头做标记,但可能遭到雨水破坏;在开始探索海洋时,星星是唯一能依靠的东西,但仅能在晴朗的夜晚进行,且由于距离太远使其无论在何处看起来都一样,故需要精确的量测(早期天体导航的误差可达几百米至几千米)。 卫星定位技术是利用人造地球卫星进行点位测量的。五十年代美国国家大地测量局。 开始利用卫星几何光学观测法和卫星轨道跟踪法建立全球卫星网和全球地心坐标系,建立了一个由45个点组成的全球三角网。前
<xcygqj> 上传 | 大小:2mb

[交通] 数据结构 课程设计 报告和源文件 迷宫 交通图 仓库管理

说明: C++ 课程设计 报告和源文件 迷宫 交通图 链表(仓库管理) 本人的结课作品 100% 原创 希望大家喜欢 因为文件较多 要的分多一点 但是觉得不会让你失望!
<linlin0727> 上传 | 大小:430kb

[交通] 电力电子(西安交通大学)

说明: 电力电子 电力电子 电力电子电力电子(西安交通大学)电力电子(西安交通大学)电力电子(西安交通大学)
<backlo> 上传 | 大小:234kb

[交通] 商行天下车辆管理软件

说明: 《车辆管理系统高级版》是一款专门针对车辆比较多的单位进行全面车辆管理的系统。本系统内容全面,分类科学。在操作上,它集输入、维护、查询、筛选、统计和各种处理为一体,功能主要包括:一.基础档案管理(1.车辆登记 2.人员登记.3.机动车参数4.公里数及燃油登记.)二.车辆费用.(1.二维费用.2.维修费用.3.燃料费用.4.洗车费用.5.养路费用.6.轮胎费用.7.保险费用.8.年审费用.9.过路过桥费用.10.蓬靠费用.11.其他费用.12.费用合计. 13.车辆费用查询.)三.车辆状态管理.
<sxtxkj> 上传 | 大小:9mb

[交通] 基于VHDL的交通灯控制器设计

说明: 用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
<cuiguan1989> 上传 | 大小:203kb

[交通] eda课程设计 交通灯设计

说明: vhdl初学者可以参考下 1.编写交通灯信号控制器的VHDL描述程序。 2.在maxplusⅡ或者QuartusⅡ上对编码器进行编辑、编译、综合、适配、仿真。给出功能和时序仿真波形。 3.将输入引脚连接到拨码开关,输出连接到发光二极管,下载后在实验板上验证其功能,记录实验结果。
<cuiguan1989> 上传 | 大小:879kb

[交通] 高速公路道路交通勘验图系统

说明: 机动车图形符号,非机动车图形符号,人体图形符号,牲畜图形符号,安全设施符号,土地利用、植被和地物,交通现象图形符号,其他
<bxb520> 上传 | 大小:572kb

[交通] 交通灯-接口技术 汇编做的程序

说明: 交通灯-接口技术,大学基本都这大实验 一个用汇编做的程序
<angle_hang> 上传 | 大小:14kb

[交通] 西安交通大学《编译原理》课件

说明: 西安交通大学《编译原理》课件,是pdf格式的。 资源大小:477KB.
<ziyoudefeng22> 上传 | 大小:477kb

[交通] 基于VHDL状态机设计的智能交通控制灯

说明: 本设计中使用两个状态机分别控制东西和南北两个方向的交通。每个状态机中都设有4个状态,分别对应红灯亮、绿灯亮、黄灯亮和出现紧急状况时两个方向上的红灯同时变亮,停止倒计时的同时数码管上出现闪烁。有仿真图
<cubalasss> 上传 | 大小:411kb

[交通] 交通灯实验指导单片机实验

说明: 本路口的交通灯功能还不够完善,如车辆转向等,但已经符合本设计的要求,能实现急救车通过等紧急情况。经总结此路口的交通灯亮灭规律表如下:
<singling123> 上传 | 大小:95kb
« 1 2 ... .02 .03 .04 .05 .06 1307.08 .09 .10 .11 .12 ... 1427 »