您好,欢迎光临本网站![请登录][注册会员]  

行业下载,交通下载列表 第1446页

« 1 2 ... .41 .42 .43 .44 .45 1446.47 .48 .49 .50 .51 ... 1461 »

[交通] 8253 8255接口模拟交通信号灯的实时控制和管理

说明: 利用TDN86/88实验系统模拟交通信号灯的实时控制和管理,具体要求: 1、先是车绿灯亮,人行道红灯亮10秒钟; 2、红绿灯切换前开始闪烁,每秒闪烁一次,持续6秒钟; 3、红绿灯切换,车红灯亮,人行道绿灯亮10秒钟; 4、红绿灯切换前开始闪烁,每秒闪烁一次,持续6秒钟; 5、如此循环重复; 文档+程序!!!
<a675605327> 在 上传 | 大小:66560

[交通] 交通灯设计-控制电路图

说明: 交通灯设计-控制电路图 数字电路课程设计所用 对弱电类学生有帮助
<luogeshishuaige> 在 上传 | 大小:67584

[交通] 交通灯控制--微机课程设计

说明: 交通灯课程设计--微型计算机,很全面。。。。。。。。。。。。
<hujie_927> 在 上传 | 大小:33792

[交通] 微机课程设计--交通灯控制

说明: 微机课程设计,交通灯控制系统,很全。。。。。。。。。
<hujie_927> 在 上传 | 大小:138240

[交通] 十字路口交通灯系统控制源代码

说明: 交通信号灯的设计方法很多,可由多种电路来构成,我们这里提供三种方案供选择: 方案一 :由普通的数字电路集成芯片组成 这种方案的特点是:硬件设计思路简单,但用元器件多,电路比较复杂,焊接调试容易出错,而且不利于智能控制,调时电路复杂。 方案二 :用VHDL语言编程控制 这种方案的特点是:硬件设计简单,电路结构清晰,电路比较复杂 ,VHDL语言编程控制硬件,可方便的进行仿真,调试。 方案三:单片机控制 采用单片机控制,可提高电路的可靠性与稳定性,硬件电路比较简单,主要用软件来控制,控制方式灵活多样
<liuguoyang> 在 上传 | 大小:73728

[交通] 数点课程设计----交通灯

说明: 交通灯控制电路的设计 一、 主要内容及提出 1、 任务的提出 设计一个十字路口交通灯控制电路,能够指挥车辆在十字路口完成左转和不 同路口的直行。 2、 功能及初步分析 东西两组灯,南北两组灯,分别用来指示转弯和直行。如下表所示。
<tantan489587464> 在 上传 | 大小:214016

[交通] 数字电子技术课程设计之交通灯设计

说明: 数字电子技术课程设计-交通灯系统设计 摘要:本文介绍了以计算机为核心.利用可编程并行接口芯片8255A的软硬件功能,实现对交通灯控制 关键词: 计算机 可编程并行接日芯片 交通灯 8255A 引言:交通灯是交通安全的关键,已广泛应用于城乡的十字路口,它的有无作为交通安全检查的重要依据,是交通秩序正常进行的有力保障。 一、实验目的 1. 了解交通灯管理的基本工作原理。 2. 熟悉8253计数器/定时器、8259A中断控制器和8255A并行接口的工作方式及应用编程。 3. 掌握多位LED显示的方法
<tantan489587464> 在 上传 | 大小:372736

[交通] 简单交通灯控制系统设计

说明: 本系统由单片机系统、键盘、LED 显示、交通灯演示系统组成。系统包括人行道、左转、右转、以及基本的交通灯的功能。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。
<yalilandisi> 在 上传 | 大小:312320

[交通] 利用PLC实现十字路口交通灯的控制

说明: 介绍如何用PLC实现十字路口交通灯的控制,说明其设计方法,解释了程序的工作原理。
<liwang008> 在 上传 | 大小:57344

[交通] EDA实验 交通灯设计

说明: 东西南北四个方向各有一组红绿黄指示灯和两个数码管,东西方向和南北方向交替允许通道,当西南方向允许通行亮绿灯时,南北方向亮红灯,当南北方向允许通行亮绿灯时,东西方向亮红灯,放行时间都是40秒,在每次由绿灯变为红灯时,要闪烁5秒钟黄灯作为过渡,在设置一按钮按下改按钮后,东西南北四个方向均亮红灯,数码管显示0,再按一次该按钮交通灯正常工作。
<miao776457440> 在 上传 | 大小:755712

[交通] 电力电子变流技术课后答案

说明: 有详细的课后题解答,西安交通大学,黄俊等编。
<MMM168> 在 上传 | 大小:3145728

[交通] 51单片机 交通灯系统

说明: 51单片机模拟交通灯的情况 可以分别对路口的绿灯 黄灯时间进行设置 短按键 加1 长按键每秒加10
<attilaxj> 在 上传 | 大小:17408
« 1 2 ... .41 .42 .43 .44 .45 1446.47 .48 .49 .50 .51 ... 1461 »