您好,欢迎光临本网站![请登录][注册会员]  

课程资源下载,软件测试下载列表 第1480页

« 1 2 ... .75 .76 .77 .78 .79 1480.81 .82 .83 .84 .85 ... 2470 »

[软件测试] 神思SS628-100 qudong

说明: 神思SS628-100 驱动连接电脑 xp win7系统均可使用
<u010138622> 上传 | 大小:1mb

[软件测试] altium 10 KEY

说明: 仅供学习用,不得作为其它用途,学习后请删除。
<u010134846> 上传 | 大小:2mb

[软件测试] orcad10[1].5教程

说明: 在这个教程中,我们没有提到关于网络表中的 Pspice 的网络表文件输出,有关内容将会在 后面提到!而且我想对大家提个建议:就是我们不要只看波形好不好,而是要学会分析,分 析不是分析的波形,而是学会分析数据,找出自己设计中出现的问题!有时候大家可能会看 到, 其实电路并没有错, 只是有时候我们的仿真设置出了问题, 需要修改。 有时候是电路的 参数设计的不合理,也可能导致一些莫明的错误!
<wmilymin> 上传 | 大小:623kb

[软件测试] 数字秒表的设计

说明: 十进制计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count10 is port(clr,start,clk: in bit; cout: out bit; library ieee; daout: out std_logic_vector(3 downto 0)); end count10; architecture a of count10 is signal
<u010135971> 上传 | 大小:36kb

[软件测试] 百宝袋软件

说明: 多功能软件
<u010135517> 上传 | 大小:2mb

[软件测试] Java测试与与设计——从单元测试到Web测试

说明: Java测试与与设计——从单元测试到Web测试
<pp001199> 上传 | 大小:4mb

[软件测试] 游戏测试精通

说明: 通过这本书我们可以对 游戏软件测试有一定的了解,共同学习进步。
<jiaojinlin> 上传 | 大小:33mb

[软件测试] adobe reader添加pdf书签功能

说明: 将文件拷贝到adobe安装目录下\Adobe\Reader 10.0\Reader\Javascr ipts, 重新启动软件,菜单View最下面就是本功能的菜单。
<majun168> 上传 | 大小:5kb

[软件测试] SPSS汉语版最新软件

说明: 完全汉语版的软件。例如我的调查表能以有用的方式度量客户满意度吗?使用可靠性分析,您可以确定 调查表中各项的相互关联程度,可以获取重复性的总体指标或作为一个整体的标度的 内部一致性,并且可以识别应从标度中排除的问题项。
<u010131669> 上传 | 大小:2mb

[软件测试] 系统辨识工具箱入手

说明: matlab中自带了很多工具箱,因为课程要求要用到系统辨识工具箱,也就是System Identification toolbox;自己折腾了一段时间,算是勉强会用了,这里简单讲解一下怎么使用非常简单。
<chenhao890621> 上传 | 大小:14kb

[软件测试] 用MatLab实现SVM分类.

说明: 用MatLab实现SVM分类
<u010130318> 上传 | 大小:309kb

[软件测试] 架子鼓模拟软件

说明: 架子鼓模拟工具。很实用。虚拟架子鼓环境,在电脑上学习,练习架子鼓,轻松方便!!
<u010129852> 上传 | 大小:840kb
« 1 2 ... .75 .76 .77 .78 .79 1480.81 .82 .83 .84 .85 ... 2470 »