您好,欢迎光临本网站![请登录][注册会员]  

开发技术下载列表 第337191页

« 1 2 ... .86 .87 .88 .89 .90 337191.92 .93 .94 .95 .96 ... 434774 »

[Web开发] fileupload+uploadify上传demo

说明: 改造uploadify的demo,使其搭配fileupload进行更好的上传,使用介绍 http://blog.csdn.net/akwolf/article/details/7382368
<akwolf> 在 上传 | 大小:313344

[C++] 复杂网络中的GN算法

说明: 复杂网络中Newman提出的GN算法,算法思想删除网络中边介数最大的边从而把网络划分为社团,算法的主要缺点算法的时间复杂度很高
<luomingwei108> 在 上传 | 大小:15728640

[C] 89S52单片机程序包

说明: keil开发软件下编写的实验程序包,适用于各种8951系列的单片机。
<szj252629941> 在 上传 | 大小:616448

[VB] 经典VB串口调试软件

说明: 用VB编写的经典的串口调试程序。用Visual Basic 编写串口程序的入门之选!
<evanzhou78> 在 上传 | 大小:101376

[C#] C#进度条实例源码

说明: C#进度条实例源码 源码程序,本人调试过,准确无误,可直接使用或二次开发
<zhedawang123> 在 上传 | 大小:929792

[C++] QT影音播放器源码

说明: QT 基于MPLAYER 影音播放器源码,可以播放音乐,视频,搜索网络歌曲及视频,可以全屏,自己玩把,开源啦!
<shunshun20520> 在 上传 | 大小:1048576

[硬件开发] pic应用窍门

说明: pic单片机设计与应用中的一些小技巧和窍门,有助于简化设计或提高效率!
<qdsqiu> 在 上传 | 大小:3145728

[硬件开发] stc产品说明

说明: 工具,应用单片机,接口电路,基本系统组成,例程说明
<yaojunqiang1> 在 上传 | 大小:6291456

[VB] VB程序开发实例

说明: 主要用于学习使用VB开发小工具软件,同时对对象属性定义与修改
<joinwice> 在 上传 | 大小:26214400

[Web开发] RGB颜色值 真的很好

说明: RGB颜色值 真的很好
<linjinxing126> 在 上传 | 大小:158720

[C#] 固定截取图片大小 可移动

说明: 浏览 可移动的固定截取图片大小程序 vs2008
<a1198265755> 在 上传 | 大小:17408

[Java] struts2.3类库

说明: struts2.3类库
<tsingheng> 在 上传 | 大小:17825792
« 1 2 ... .86 .87 .88 .89 .90 337191.92 .93 .94 .95 .96 ... 434774 »