您好,欢迎光临本网站![请登录][注册会员]  
文件名称: vhdl按键消抖
  所属分类: 专业指导
  开发工具:
  文件大小: 1kb
  下载次数: 0
  上传时间: 2013-05-10
  提 供 者: s103*****
 详细说明: 关于vhdl的消抖程序, library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity shift is port(sin,cp:in std_logic;f:out std_logic); end shift; architecture netlist1 of shift is component dff1 port(d,cp:in std_logic;q:out std_logic); end component; signal z:std_logic_vector(0 to 4); begin z(0)<=sin; gf:for i in 0 to 3 generate u1:dff1 port map(z(i),cp,z(i+1)); end generate; f<=not(z(4) and (not z(1))and(not z(2))and(not z(3))); end netlist1; Library ieee; use ieee.std_logic_116 4.all; entity dff1 is port(d,cp:in std_logic; q:out std_logic); end dff1; architecture one of dff1 is begin process(cp) begin if cp'event and cp='1'then q<=d; end if; end process; end one; ...展开收缩
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: vhdl
 输入关键字,在本站1000多万海量源码库中尽情搜索: