您好,欢迎光临本网站![请登录][注册会员]  
文件名称: MENTOR.GRAPHICS.LEONARDO.SPECTRUM
  所属分类: 硬件开发
  开发工具:
  文件大小: 43mb
  下载次数: 0
  上传时间: 2009-09-07
  提 供 者: wtz***
 详细说明:  LeonardoSpectrum是Mentor公司出品的一款HDL逻辑综合软件,使应用于通信、宽带、无线及多媒体领域的可编程SoC设计的创新和管理变得更为轻松。   有了LEONARDO SPECTRUM,您即可利用VHDL或是Verilog语言,LeonardoSpectrum是由Mentor Graphics发展,不但操作非常方便,还具备工作站等级ASIC工具的强大控制能力和最优化功能特色。   该软件有三种逻辑综合方式:SynthesisWizard(综合向导)、 Quick Setup(快速完成)、FlowT abs(详细流程)方式。具体采用哪种方式可点击工具栏快捷图标或从Tools菜单中选择   LEONARDO SPECTRUM是非常好的逻辑综合软件,有了 LEONARDO SPECTRUM,您即可利用VHDL或是Verilog语言建立PLD、FPGA和ASIC元件。LeonardoSpectrum是由Mentor Graphics发展,不但操作非常方便,还具备工作站等级ASIC工具的强大控制能力和最优化功能特色。LeonardoSpectrum提供 PowerTabs菜单,工程师面对设计挑战时,可使用其中的先进合成控制选项;除此之外,LeonardoSpectrum也包含强大的调试功能和业界 独有的五路相互探测能力(five-way cross-probing),使您更快完成设计的分析与合成。   Mentor Graphics Leonardo Spectrum提供更好的合成能力   Leonardo Spectrum是Mentor Graphics发展的合成工具,它能协助Atmel客户在一个合成环境中使用VHDL或Verilog语言完成FPGA设计,让他们针对工业控制、通 信、宽频、无线与多媒体等应用市场,更轻易的建立和管理FPSLIC设计。LeonardoSpectrum的操作非常简单,又支持各种复杂设计方式,设 计人员可精密控制他们的FPGA设计,并获得最佳设计结果,满足他们的所有设计需求。   LeonardoSpectrum是Mentor Graphics的子公司Exemplar Logic的专业VHDL/Verilog HDL综合软件,简单易用,可控性较强,可以在LeonardoSpectrum中综合优化并产生EDIF文件,作为QuartusII的编译输入。该软 件有三种逻辑综合方式:Synthesis Wizard(综合向导)、Quick Setup(快速完成)、Advanced FlowTabs(详细流程)方式。三种方式完成的功能基本相同。Synthesis Wizard方式最简单,Advanced FlowTabs方式则最全面,该方式有六个选项单,如图所示,分别完成以下功能:器件选择、设计文件输入、约束条件指定、优化选择、输出网表文件设置及 选择调用布局布线工具。   以上每步操作都提供相应的帮助,简单明了。需要注意的是,在输入设计文件时要正确排列文件的次序,将底层文件放在前面,顶 层文件放到后面,这样LeonardoSpectrum软件才能正确地建立数据信息库。综合完成后,可以将输出网表文件 (.EDF)作为MAX+PLUS II或Quartus II的设计输入文件,再完成编译、仿真、定时分析和器件编程等步骤,完成整个系统的设计过程。 ...展开收缩
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: LEONARDO SPECTRUM
 输入关键字,在本站1000多万海量源码库中尽情搜索: