您好,欢迎光临本网站![请登录][注册会员]  
文件名称: SHA-3 verilogHDL实现
  所属分类: 其它
  开发工具:
  文件大小: 14kb
  下载次数: 0
  上传时间: 2013-07-01
  提 供 者: wr115******
 详细说明: 最新的SHA-3 verilog HDL实现代码,基于-Keccak算法。2012年10月2日,期盼已久的SHA-3获胜算法终于揭开了她的面纱,她就是Keccak算法!Keccak算法由意法半导体的Guido Bertoni、Joan Daemen(AES算法合作者)和Gilles Van Assche,以及恩智浦半导体的Michaël Peeters联合开发。NIST计算机安全专家Tim Polk说,Keccak的优势在于它与SHA-2设计上存在极大差别,适用于SHA-2的攻击方法将不能作用于Keccak
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: SHA-3 Keccak verilog
 输入关键字,在本站1000多万海量源码库中尽情搜索: