您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 曼彻斯特编码的verilog实现
  所属分类: 专业指导
  开发工具:
  文件大小: 3kb
  下载次数: 0
  上传时间: 2009-10-15
  提 供 者: carol*****
 详细说明: 曼彻斯特编码技术用电压的变化表示“0”和“1”。规定在每个码元中间发生跳变。高→ 低的跳变表示“0”,低→ 高的跳变表示为“1”,也就是用“01”表示“0”,用“10”表示“1”。每个码元中间都要发生跳变,接收端可将此变化提取出来作为同步信号,使接收端的时钟与发送设备的时钟保持一致。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: 曼彻斯特 编码 verilog
 输入关键字,在本站1000多万海量源码库中尽情搜索: