您好,欢迎光临本网站![请登录][注册会员]  
文件名称: USB的VHDL实现源码
  所属分类: 其它
  开发工具:
  文件大小: 49kb
  下载次数: 0
  上传时间: 2008-11-23
  提 供 者: slov*****
 详细说明: USB的VHDL实现源码 library IEEE; use IEEE.STD_LOGIC_1164.all; package usbTSTPAK is -------------------- component usbTSTctrl port( signal sim: in STD_LOGIC; -- TRUE while simulating signal stim: in STD_LOGIC; -- TRUE to stimulate UUT -- signal clk48: out STD_LOGIC; -- 48MHz clock signal rst: out STD_LOGIC; -- async reset -- signal uut_rxd: out STD_LOGIC; -- UUT rxd pin signal uut_rx0: out STD_LOGIC; -- UUT rx0 pin signal uut_txd: in STD_LOGIC; -- UUT txd pin signa l uut_tx0: in STD_LOGIC; -- UUT tx0 pin -- signal tb_xd: in STD_LOGIC; -- testbench xd signal signal tb_x0: in STD_LOGIC; -- testbench x0 signal signal tb_clk: out STD_LOGIC -- testbench clock ); end component; ...展开收缩
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: VHDL
 输入关键字,在本站1000多万海量源码库中尽情搜索: