您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 高级验证方法学
  所属分类: 硬件开发
  开发工具:
  文件大小: 54mb
  下载次数: 0
  上传时间: 2016-12-28
  提 供 者: wd***
 详细说明: avm是一个基于system verilog和systemc编程环境。它是为了开发复杂验证平台而设计的。就如学习c语言一样(或者system verilog或者systemc),它会需要一段时间去学习avm,从而知道如何更有效地去应用所有的概念。这本书的目的就是给你一个信心。如果这本书的作者把工作做得相当好,那么当你阅读这本书并且按里面的例子进行练习,肯定会有惊喜的体验。这个比喻性的概念在你的思想形成之后,你就会掌握avm中所有的结构并且知道如何应用。 目录 绪论 第1章 验证原理 1.1 验证基础 1.2 第一个验证 平台 1.3 第二个验证平台 1.4 总结 第2章 avm综述 2.1 重用 2.2 验证组件和接口 2.3 层次化结构的验证平台 2.4 两个域 2.5 基于systemverilog avm概述 2.6 总结 第3章 面向对象程序设计的基本原理 3.1 面向过程vs.面向对象 3.2 类和对象 3.3 对象间关系 3.4 虚函数和多态 3.5 通用程序设计 3.6 作为组件的对象 . 3.7 oop和验证 第4章 tlm介绍 4.1 抽象(abstraction) 4.2 事务的定义(definilion of a transaction) 4.3 组件间的通信(communicating comf)onents) 4.4 使用通道(channel)来隔离组件 4.5 事务级连接(forming a transation—level connection) 4.6 小结 第5章 sustem vererilog中的avm机制 5.1 接口 5.2 连接组件 5.3 创建环境 5.4 连接硬件 5.5 报告 5.6 总结 第6章 验证平台基础 6.1 一个简单的存储器设计 6.2 激励产生器 6.3 监视器 6.4 三类状态机 6.5 驱动器 6.6 总结 第7章 完整的验证平台 7.1 分析端口和分析组件 7.2 记分板 7.3 覆盖率 7.4 注入错误 7.5 总结 第8章 逐步优化 8.1 事务级设计 8.2 riil替换 8.3 fpu作为黄金模型 8.4 小结 第9章 验证平台中的模块 9.1 非流水型总线实例 9.2 基于模块的断言监视器 9.3 总线功能模型(bfm) 9.4 总结 第10章 随机验证 10.1 crv方法概述 10.2 对类加入随机 10.3 用继承来实现层次约束 10.4 动态修改约束 10.5 过度约束 10.6 设定成员 10.7 动态数组 10.8 每个设计/每个测试配置 10.9 约束设计 10.10 类工厂 10.11 状态决定约束实例 10.12 avm随机激励生成器 第11章 avm与system verilog和sustemc 11.1 对象模型 11.2 对象的支持 11.3 封装行为 11.4 随机化 11.5 实例化与细节构建 11.6 事务级连接 11.7 执行阶段 11.8 创建完整的验证平台架构 11.9 选择systemverilog或systemc 附录a 符号说明 附录b 命名约定 附录c avm百科全书 附录d apache许可证 参考书目 ...展开收缩
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: 验证方法学
 输入关键字,在本站1000多万海量源码库中尽情搜索: