您好,欢迎光临本网站![请登录][注册会员]  
文件名称: vhdl 状态机设计
  所属分类: 专业指导
  开发工具:
  文件大小: 35kb
  下载次数: 0
  上传时间: 2009-12-12
  提 供 者: j885*****
 详细说明: 1)依据具体的设计原则,确定是采用Moore型状态机还是Mealy型状态机; (2)分析设计要求,列出状态机的所有状态,并对每一个状态进行状态编码; (3)根据状态转移关系和输出函数画出所要设计状态机的状态图; (4)根据所画的状态图,采用硬件描述语言对状态机进行描述。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: vhdl 状态机
 输入关键字,在本站1000多万海量源码库中尽情搜索: