开发工具:
文件大小: 401kb
下载次数: 0
上传时间: 2020-07-07
详细说明:library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library NDI_Dec;
use NDI_Dec.NDI_Pkg.all;
component Dec_Core_E is
generic (
CORE_ID : integer := 0;
VID_ADDR_WIDTH : integer := 32;
VID_BURST_WIDTH : integer := 8;
VID_BURST_LENGTH : integer := 8;
NDI_ADDR_WIDTH : integer := 32;
NDI_BURST_WIDTH : integer := 8;
NDI_BURST_LENGTH : integer := 8
);
port (
-- Register interface
reg_clk : in std_logic;
reg_reset : in std_logic;
reg_irq : out std_logic;
reg_irq_ena : out std_logic;
RegWr_addr : in unsigned(5 downto 0);
RegWr_data : in DWORD_T;
RegWr_we : in std_logic;
RegWr_be : in std_logic_vector(3 downto 0);
RegRd_addr : in unsigned(5 downto 0);
RegRd_data : out DWORD_T;
RegRd_rden : in std_logic;
-- NDI interface
ndi_clk : in std_logic;
ndi_rst : in std_logic;
-- Read Interface (compressed NDI data)
ndir_wait_n : in std_logic := '0';
ndir_addr : out std_logic_vector(NDI_ADDR_WIDTH - 1 downto 0);
ndir_rvalid : in std_logic := '0';
ndir_rdata : in std_logic_vector(63 downto 0) := (others=>'0');
ndir_read : out std_logic;
ndir_burstcount : out std_logic_vector(NDI_BURST_WIDTH - 1 downto 0);
-- Write Interface (raw video)
vidw_wait_n : in std_logic;
vidw_addr : out std_logic_vector(VID_ADDR_WIDTH - 1 downto 0);
vidw_wdata : out QWORD_T;
vidw_write : out std_logic;
vidw_burstcount : out std_logic_vector(VID_BURST_WIDTH - 1 downto 0);
vidw_byteenable : out std_logic_vector(7 downto 0)
);
end component;
(系统自动生成,下载前可以参看下载内容)
下载文件列表
相关说明
- 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
- 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。
- 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
- 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
- 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
- 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.