您好,欢迎光临本网站![请登录][注册会员]  
文件名称: FPGA二值图像边界提取算法实现
  所属分类: 其它
  开发工具:
  文件大小: 283kb
  下载次数: 0
  上传时间: 2020-07-13
  提 供 者: weixin_********
 详细说明:1 背景知识 图1 二值图像边界提取演示 如图1 所示,图1 a为一幅简单的二值图像,经过边界提取后形成如图1 b 所示的图像,显示出了白色区域的轮廓。 2 边界提取算法 使用黑色提取,背景为白色,‘1’表示白色,‘0’表示黑色。 图2 二值图像边界提取演示 我们使用3x3模板进行边界提取,所以当3x3九个点都是‘1’的时候,输出为‘1’,当九个点都是‘0’的时候,输出为‘1’,其他情况输出均为‘0’。 3 FPGA二值图像边界提取算法实现 图2中我们使用串口传图传入的是二值图像。FPGA源码:/*Module name: boundary_extracTIon.vDescripTIon: binary image boundary extracTIon*/`TImescale 1ns/1psmodule boundary_extraction( input clk, //pixel clkinput rst_n,input hs_in,input vs_in,input [15:0] data_in,input
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: