您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 基于FPGA和万兆网的GigE Vison设计方案
  所属分类: 其它
  开发工具:
  文件大小: 212kb
  下载次数: 0
  上传时间: 2020-07-13
  提 供 者: weixin_********
 详细说明:本文简要描述基于万兆网的GigE Vison IP设计方案。 一、GigE Vsion协议要点 GigE Vison协议基于普通的以太网物理链路,运行在UDP协议层之上,包含控制协议GVCP和数据流协议GVSP两大部分,整个层次结构如下图1所示。 图1 GigeVison协议层次结构图 GigE Vison协议的要点如下: (1)上电或复位完成后必须先进行IP配置和设备枚举,必须支持DHCP和LLA(Auto IP)两种IP配置方式; (2)在UDP层上建立应答握手机制以保证传输,GVCP采用3956端口,数据长度必须以32bit为边界,数据不可分包传输; (3)设备必须支持心跳功能以确认处于连接状态; (4)支持控制(1个)、数据流(1~512个)和消息(0~1个)三种通道,每个通道分配不同的UDP端口,控制通道支持三种不同的访问权限; (5)必须支持最小规模的ICMP(GigeVsion要求必须支持Ping命令); (6)GVSP的数据包以字节为边界,数据包的大小由第一个有效的test packets决定,支持错误恢复
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: