您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 基于FPGA的工业以太网交换机设计优化
  所属分类: 其它
  开发工具:
  文件大小: 458kb
  下载次数: 0
  上传时间: 2020-08-13
  提 供 者: weixin_********
 详细说明:基于以太网的组网技术是工业市场中增长最快的技术之一。大多数工业以太网标准使用IEEE 802.3标准以太网协议,因此这些网络能够传输标准的网络业务和实时数据。但每个标准都采用不同的技术来提供实时性能,一些采用定制硬件,一些利用定制软件,还有的采用完全标准的以太网/TCP/IP实现。结果就出现了众多不同等级性能、不同成本的互不兼容标准。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: