您好,欢迎光临本网站![请登录][注册会员]  
文件名称: EDA/PLD中的基于VHDL实现多路彩灯控制器设计应用
  所属分类: 其它
  开发工具:
  文件大小: 57kb
  下载次数: 0
  上传时间: 2020-11-12
  提 供 者: weixin_********
 详细说明:一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然后逐次向中间点亮。   (3)彩灯从左到右两个两个点亮,然后从右到左两个两个逐次点亮。   (4
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: