您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 基于VHDL语言的实用电梯控制器
  所属分类: C
  开发工具:
  文件大小: 2mb
  下载次数: 0
  上传时间: 2010-04-04
  提 供 者: jaci****
 详细说明: 六层电梯VHDL实现 六层电梯VHDL实现设计一个六层电梯控制系统要求有超载、关门中断、提前关门清除报警信电梯外人的上升请求信号,电梯外人的下降请求信号, 电梯外人上升请求信号显示电梯外人下降请求信号显示 到达楼层信号 设计思路:输入有clk 时钟信号(频率为2Hz) full,deng,quick,clr 超载、关门中断、提前关门清除报警c_u1,c_u2,c_u3,c_u4,c_u5电梯外人的上升请求c_d2,c_d3,c_d4,c_d5,c_d6 电梯外人的下降请求 d1,d2,d3,d4,d5,d6电梯内人的请求 g1,g2,g3,g4,g5,g6 到达楼层信号door 电梯门控制信号 led电梯所在楼层显示led_c_u 电梯外人上升请求信号显示led_c_d电梯外人下降请求信号显示led_d :电梯内请求信号显示 wahaha看门狗报警信号 ud,alarm电梯运动方向显示,超载警告信号up,down电机控制信号和电梯运动
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: 电梯 VHDL
 输入关键字,在本站1000多万海量源码库中尽情搜索: