您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. LED经典动态显示程序

  2. 本程序通过P0口接一片8路反向缓冲器74LS240驱动LED的段选信号,P2.0-P2.4口作LED的位选输出口, ;// 使用7406反向驱动电路作位选信号驱动口.6个LE数码管的共阴端与7406的输出端对应相连.6个数码 ;// 管的7条段选线与74LS240输出对应相连. ;// 在这种显示电路中,逐位轮流点亮各个LED,每一位点亮1ms,在5ms延时后点亮下一个LED(10ms仍有闪 ;// 烁现象),重复不止.这样利用人的视觉暂留,好像6个LED 是同时点亮的,并不觉察有闪烁现象.
  3. 所属分类:专业指导

    • 发布日期:2009-05-25
    • 文件大小:24576
    • 提供者:ykf151864118
  1. 用键盘控制一位7段数码显示管

  2. 用4*4键盘控制,用一位数码显示管来显示数字1-9
  3. 所属分类:硬件开发

    • 发布日期:2009-08-15
    • 文件大小:75776
    • 提供者:tanpeiyi
  1. MAX7219驱动数码管

  2. 中英文资料 数码管驱动8组MAX7219/MAX7221是一种集成化的串行输入/输出共阴极显示驱动器,它连接微处理器 与8位数字的7段数字LED显示,也可以连接条线图显示器或者64个独立的LED。其上包括一 个片上的B型BCD编码器、多路扫描回路,段字驱动器,而且还有一个8*8的静态RAM用来存 储每一个数据。只有一个外部寄存器用来设置各个LED的段电流。MAX7221与SPI™、QSPI™ 以及 MICROWIRE™相兼容,
  3. 所属分类:专业指导

    • 发布日期:2009-11-16
    • 文件大小:886784
    • 提供者:richardrain
  1. Led 显示 类 数码管 数码 数字

  2. 文件名: LedDisp.h 版本号: v1.0.5 (v20091122.00001) 功能: 显示七段数码管风格的数字、小数点、冒号及部分字母。 (此版本理论上可用于MFC,Win32 SDK或其它环境,在Windows XP sp2下用vc6.0及vs2008编译、运行通过.) 第一作者: Jef 日期: 20091122 电子邮件: dungeonsnd@126.com 地址: 中国/江苏 版权: 1.您可以修改及免费使用本程序,但把本程序或修改后程序用于商业用途前 请先通知第一作者并
  3. 所属分类:C++

    • 发布日期:2009-11-22
    • 文件大小:4194304
    • 提供者:dungeonsnd
  1. 工业自动化网络课程设计(双位LED显示)

  2. 1.由八组LED发光二极管模拟的八段数码管开始显示:显示次序0、1、2、3、4、5、6、7、8、9,10~99并循环不止。 2.构建一主一从控制系统(profibus),将从站PLC的信息反馈到到主站PLC中并同步显示 3.使用Wincc系统同步监控
  3. 所属分类:网络基础

    • 发布日期:2009-12-22
    • 文件大小:412672
    • 提供者:acjacj
  1. VHDL实验段数码管译码器设计与实现

  2. 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果在数码管上显示。结合上次实验,将4位可逆计数器,数码管显示,分别作为两个子模块,实现在
  3. 所属分类:专业指导

    • 发布日期:2010-03-31
    • 文件大小:1024
    • 提供者:woshishuiaabbb
  1. 实验一 七段数码显示译码器

  2. 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。但为了简化过程,首先完成7段BCD码译码器的设计。如图3-1作为7段BCD码译码器,输出信号LED7S的7位分别接如图3-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b
  3. 所属分类:C

    • 发布日期:2010-12-08
    • 文件大小:377856
    • 提供者:XUQIWEN1
  1. 微机原理课程设计—抢答器

  2. 主要实现功能 由于本次实验要用到数码显示、中断控制、倒计时等功能,故初步分析,需要8255、8253等芯片。当4组均未按下抢答按钮时,送入到并行接口的4位抢答状态都是0,而当微机采样到这4位数据不为0时,则表示有一组获得了抢答机会,然后通过逐位口查询个位口状态,即可判断出哪一组抢答成功,最后利用并行输出接口将抢答成功的组号现实出来.在实验中,可以仅用一位7段数码管来显示抢答选手的组号.
  3. 所属分类:专业指导

    • 发布日期:2012-05-06
    • 文件大小:167936
    • 提供者:lqq900621
  1. 7段数码管显示设计

  2. 数码管选通控制信号分别对应4 个数码管的公共端,当某一位选通控制信号为高电平 时,其对应的数码管被点亮,因此通过控制选通信号就可以控制数码管循环依次点亮。一个 数码管稳定显示要求的切换频率要大于50Hz,那么4 个数码管则需要50×4=200Hz 以上 的切换频率才能看到不闪烁并且持续稳定显示的字符。
  3. 所属分类:其它

    • 发布日期:2013-07-15
    • 文件大小:135168
    • 提供者:u011406394
  1. 基于单片机的温湿度检测毕业论文

  2. 在工农业生产和日常生活中,需要了解温湿度等各种参数。一此对环境温度要求比较高的场合,都必须严格的控制环境的温度与湿度,让其保持在一定的范围内。本次设计选用的温湿度传感器为DHT11,时间处理芯片为DS1302,采用AT89S52作为系统的控制核心,4位7段数码管作为系统的显示,并有报警电路,当系统超过设定的温度范围时,启动报警。用户可以通过按键自行设定报警温度的范围。
  3. 所属分类:嵌入式

    • 发布日期:2014-04-25
    • 文件大小:342016
    • 提供者:snrtdddf
  1. 25.数码管显示0-99

  2. 数码管显示0-99, CESASSCS /*************************************************************************** 标题: 数码管的显示 效果: 显示00-99,动态显示+变化显示 作者: 启程电子 ******************************************************************************/ ;脚功能定义 SMG_q EQU P3.4 ;定义数码管阳级
  3. 所属分类:C

    • 发布日期:2014-10-06
    • 文件大小:19456
    • 提供者:liyue3236
  1. MAX7219 数码管显示

  2. MAX7219/MAX7221是一种集成化的串行输入/输出共阴极显示驱动器,它连接微处理器与8位数字的7段数字LED显示,也可以连接条线图显示器或者64个独立的LED。可以节省单片机IO口的使用。
  3. 所属分类:C

    • 发布日期:2015-08-01
    • 文件大小:525312
    • 提供者:qq_28717641
  1. VerilogHDL哈工大数电大作业(血型匹配-学号显示-学号计数-状态转换四合一程序)

  2. 目录 1 数字电路技术——HDL设计 3 概述 3 作业要求 3 第一题 3 第二题 4 第三题 4 第四题 4 准备工作——部分模块的驱动设计 4 引脚约束文件 4 时钟切换模块的设计 5 四位七段数码管驱动模块 7 输出引脚控制切换模块 10 任务一 —— 血型匹配 13 分析任务 13 编写任务一子模块程序 14 程序解读 16 编写顶层模块程序 17 RTL原理图检查 19 效果检查 19 任务二 —— 显示译码电路 20 分析任务 20 编写任务二子模块程序 20 编写顶层模块程序
  3. 所属分类:硬件开发

    • 发布日期:2018-03-05
    • 文件大小:54525952
    • 提供者:yunlao1338
  1. 实验一 运算器实验

  2. 1)内置一个32位num2作为运算器的一个输入; 2)将sw0~sw7输入到num1,经过符号扩展到32位后,作为运算器的另一个输入; 3)因为运算器支持“加、减、与、或、非”5种运算,需要3位(8个操作)。将sw15~sw13输入到op作为运算器的控制信号; 4)将计算32位结果s显示到显示器上,显示器由2个4位同阳极7段数码管显示器,显示器显示的是十六进制,显示器中有reset信号和clk信号。
  3. 所属分类:讲义

    • 发布日期:2018-11-07
    • 文件大小:151552
    • 提供者:huaixiaohuai
  1. 六位十进制计数器的制作

  2. 本文介绍用6 片CD4026 及0.8 英寸共阴极LED数码管等组成的计数器,此模块加上时间控制就很容易制作出如频率计、相位计等测试仪器。由于结构简单元件少,很容易制作。   一、主要元件   1. CD4026引脚   如图1 示,其内部可分为计数器和7 段显示译码器两部分。输出以“1”为有效电平点亮数码管相应的段。可以不串连限流电阻直接带数码管,电流不会超过10mA。 图1 CD4026引脚   INH=“0”时,时钟脉冲从CLOCK 端引入,时钟脉冲的上升沿使计数器翻转;IN
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:125952
    • 提供者:weixin_38697063
  1. EDA/PLD中的基于FPGA的数字秒表的设计

  2. 应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了系统的开发时间,提高了工作效率。本文介绍一种以FPGA为核心,以VHDL为开发工具的数字秒表,并给出源程序和仿真结果。   1 系统设计方案   1.1 系统总体框图   数字秒表主要有分频器、计数模块、功能控制模块、势能控制模块和显示输出模块组成。系统框图如图1所示。   本次的设计仿真选用以EPlC6Q240芯片为核心的FPGA开发板,该开发板提供了较完善的外围周边电路和信号接口,并提供了一块4位7段数码
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:342016
    • 提供者:weixin_38741195
  1. 一种简易数字电压表的设计与制作

  2. 摘要:本文介绍一种基于51单片机的简易数字电压表的设计制作,该设计主要由三个模块组成:a/d转换模块,数据处理模块及显示模块。a/d转换主要由芯片adc0808来完成,它负责把采集到的模拟量转换为相应的数字量在传送到数据处理模块。数据处理则由芯片at89c51来完成,其负责把adc0808传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示。数字电压表测量分为ov-1.999v,2.000v-19.99v,20.00v-199.9v,200.0v-1999v四个档位,并通过一个
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:265216
    • 提供者:weixin_38621312
  1. 设计一.控制系统并仿真:包括设计3个按键(A、B、C键),8个LED和2位7段数

  2. 23、设计一.控制系统并仿真:包括设计3个按键(A、B、C键),8个LED和2位7段数码 管。按A键加10,按B键减1设置循环次数(00-99),数码管显示跑马灯要循环次数,按 C键后8个跑马灯左循环,每跑完轮, 循环次数减 1并显示,同时按 A+B键,跑马灯的 速度加快,直到循环次数为0时暂停。
  3. 所属分类:嵌入式

    • 发布日期:2021-01-19
    • 文件大小:1048576
    • 提供者:qq_43351196
  1. 设计一控制系统并仿真:

  2. 11、设计一控制系统并仿真:包括设计3个按键(A、B、C键)、2位7段数码管和2个LED 灯。按A键数码管自动从00到99循环显示,按B键自动从当前值减1循环显示。按C键 暂停,并显示当前值。同时当数码管显示值被10 整除时,一个 LED灯改变显示状态;另一 个LED在按C键后开始闪烁。
  3. 所属分类:嵌入式

    • 发布日期:2021-01-19
    • 文件大小:1048576
    • 提供者:qq_43351196
  1. 基于FPGA的数字秒表的设计

  2. 应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了系统的开发时间,提高了工作效率。本文介绍一种以FPGA为,以VHDL为开发工具的数字秒表,并给出源程序和仿真结果。   1 系统设计方案   1.1 系统总体框图   数字秒表主要有分频器、计数模块、功能控制模块、势能控制模块和显示输出模块组成。系统框图如图1所示。   本次的设计仿真选用以EPlC6Q240芯片为的FPGA开发板,该开发板提供了较完善的外围周边电路和信号接口,并提供了一块4位7段数码管的扩展
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:501760
    • 提供者:weixin_38506138
« 12 3 4 5 »