您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 两个4位二进制计数器构成一个六十进制计数器

  2. VHDL 两个4位二进制计数器构成一个六十进制计数器,使用VHDL语言
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:128000
    • 提供者:sunrier
  1. 四位十进制计数器,他可以实现十进制计数

  2. 四位十进制计数器,实现了单片机的2进制变成十进制,让我们更能了解
  3. 所属分类:硬件开发

    • 发布日期:2009-07-22
    • 文件大小:37888
    • 提供者:wq234124895
  1. EDA完成的十进制计数器

  2. 本次能力拓展训练是作出一个十进制加法计数器,递增计数,有进位、清零、保持功能。需要按要求编写出十进制计数器的VHDL程序,调试、编译程序并绘制出仿真波形图,结果应能实现计数功能。 本次能力拓展训练意义在于复习EDA的相关技术与方法;掌握VHDL或者Verilog语言,并要求能编写程序。Quartus软件的使用:掌握程序编辑、编译、调试、仿真方法。
  3. 所属分类:嵌入式

    • 发布日期:2009-09-21
    • 文件大小:299008
    • 提供者:braimten
  1. 十进制计数器+七段译码器

  2. 用VHDL语言实现十进制计数器,并用七段译码器显示
  3. 所属分类:其它

    • 发布日期:2009-09-30
    • 文件大小:515
    • 提供者:songsiqi2006
  1. 课程设计:六十进制计数器的设计

  2. 课程设计:六十进制计数器的设计 实验目的 1.进一步掌握VHDL语言中元件例化语句的使用 2.通过本实验,巩固利用VHDL语言进行EDA设计的流程
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:88064
    • 提供者:lhl8787
  1. 十进制计数器vhdl

  2. 查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffer integer range 0 to 15; cout:out std_logic); end; architecture
  3. 所属分类:其它

    • 发布日期:2009-12-27
    • 文件大小:469
    • 提供者:duzibeihang
  1. VHDL语言编写的十进制计数器和七段译码器

  2. VHDL语言编写的十进制计数器和七段译码器,下来就知道了
  3. 所属分类:其它

    • 发布日期:2010-01-11
    • 文件大小:515
    • 提供者:ruisheng536
  1. 同步二-十进制计数器的设计与实现

  2. 本人计算机组成原理的一个课程设计,属于硬件实现的同步二-十进制计数器的实现,里面包括任务书、目录等完整的文档。
  3. 所属分类:专业指导

    • 发布日期:2010-03-26
    • 文件大小:476160
    • 提供者:jaw123
  1. EDA 六十进制计数器

  2. EDA 六十进制计数器,呵呵 不可不下 喔
  3. 所属分类:C/C++

  1. verilog 十进制计数器

  2. 提供verilog设计十进制计数器源代码及测试代码。
  3. 所属分类:专业指导

  1. verilog十进制计数器,带进位,仿真无误

  2. 本人亲自仿真无误的verilog十进制计数器,程序有中文说明容易读懂,可直接用MOdelsim打开。十进制带进位加计数器
  3. 所属分类:嵌入式

    • 发布日期:2011-12-01
    • 文件大小:452
    • 提供者:danchaorui
  1. 二位十进制计数器实验报告

  2. 二位十进制计数器实验报告
  3. 所属分类:专业指导

    • 发布日期:2011-12-29
    • 文件大小:55296
    • 提供者:tuzixiaopang
  1. VHDL十进制计数器的源代码

  2. 十进制计数器 硬件描述语言 VHDL Quartus 2
  3. 所属分类:硬件开发

    • 发布日期:2012-02-26
    • 文件大小:231424
    • 提供者:hhxx_ttxs_nl
  1. 单步/连续可逆不循环一位十进制计数器制作报告

  2. 单步/连续可逆不循环一位十进制计数器实验报告 是自己短学期做的项目
  3. 所属分类:硬件开发

    • 发布日期:2012-10-19
    • 文件大小:1048576
    • 提供者:lllzzzxxx666
  1. 第五部分课程设计:六十进制计数器的设计

  2. 第五部分课程设计:六十进制计数器的设计
  3. 所属分类:外包

    • 发布日期:2012-12-16
    • 文件大小:3145728
    • 提供者:lhl8787
  1. 六位十进制计数器

  2. 这是基于51单片机的,加入8255扩展芯片的六位十进制计数器。其中包含各个部分元器件的选用、原理及使用方法。还有汇编和C的编程代码。
  3. 所属分类:C

    • 发布日期:2013-09-02
    • 文件大小:1048576
    • 提供者:u011936740
  1. 十进制计数器

  2. 使用quartus ii 软件编写的十进制计数器,所用语言为Verilog
  3. 所属分类:其它

    • 发布日期:2015-10-30
    • 文件大小:375
    • 提供者:xiayeyijing
  1. 附件-十进制计数器

  2. 附件,数字电子技术学习,利用Digital Works进行十进制计数器的实现
  3. 所属分类:其它

    • 发布日期:2015-12-12
    • 文件大小:9216
    • 提供者:csdn_lan
  1. 带复位和时钟使能的十进制计数器

  2. 带复位和时钟使能的十进制计数器,有波形仿真图,通过了硬件测试
  3. 所属分类:嵌入式

    • 发布日期:2008-12-22
    • 文件大小:163840
    • 提供者:alex_gaozq
  1. 实验4:十进制计数器.docx

  2. EDA技术及应用课程相关实验:十进制计数器
  3. 所属分类:其它

    • 发布日期:2021-02-27
    • 文件大小:12288
    • 提供者:barelank
« 12 3 4 5 6 7 8 9 10 ... 21 »