您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 十进制计数器vhdl
  所属分类: 其它
  开发工具:
  文件大小: 469byte
  下载次数: 0
  上传时间: 2009-12-27
  提 供 者: duzib******
 详细说明: 查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffer integer range 0 to 15; cout:out std_logic); end; architecture aa of count10 is begin process(clk) begin if falling_edge(clk) then if f=9 then f<=0; cout<='1'; else f<=f+1; end if; else null; end if; end process; end;
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: 十进制计数
 输入关键字,在本站1000多万海量源码库中尽情搜索: