您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 十进制计数器 vhdl语言

  2. 用vhdl语言来实现十进制计数功能。有清零,使能信号等等
  3. 所属分类:专业指导

    • 发布日期:2009-05-09
    • 文件大小:1024
    • 提供者:yorshooye
  1. 80c51实现的2位按钮十进制计数显示器

  2. 使用80C51单片机开发实现的两位按钮控制的十进制计数显示器,代码模块化,方便学习并移植使用
  3. 所属分类:C

    • 发布日期:2009-06-27
    • 文件大小:16384
    • 提供者:amolee
  1. 四位十进制计数器,他可以实现十进制计数

  2. 四位十进制计数器,实现了单片机的2进制变成十进制,让我们更能了解
  3. 所属分类:硬件开发

    • 发布日期:2009-07-22
    • 文件大小:37888
    • 提供者:wq234124895
  1. EDA完成的十进制计数器

  2. 本次能力拓展训练是作出一个十进制加法计数器,递增计数,有进位、清零、保持功能。需要按要求编写出十进制计数器的VHDL程序,调试、编译程序并绘制出仿真波形图,结果应能实现计数功能。 本次能力拓展训练意义在于复习EDA的相关技术与方法;掌握VHDL或者Verilog语言,并要求能编写程序。Quartus软件的使用:掌握程序编辑、编译、调试、仿真方法。
  3. 所属分类:嵌入式

    • 发布日期:2009-09-21
    • 文件大小:299008
    • 提供者:braimten
  1. 实训七 计数、译码、显示电路 用十进制计数器、译码器、显示器组成一个十进制计数显示电路

  2. 实训七 计数、译码、显示电路 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 2.掌握计数器、译码器、显示器的应用。 二、实训内容 1.用十进制计数器、译码器、显示器组成一个十进制计数显示电路。
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:2097152
    • 提供者:lhl8787
  1. 十进制计数器vhdl

  2. 查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffer integer range 0 to 15; cout:out std_logic); end; architecture
  3. 所属分类:其它

    • 发布日期:2009-12-27
    • 文件大小:469
    • 提供者:duzibeihang
  1. 键盘输入的字符计数(十进制输出)

  2. 汇编实验,从键盘输入字符,可以是数字,字母,符号,将其进行分类计数用十进制方式输出结果
  3. 所属分类:硬件开发

    • 发布日期:2010-01-21
    • 文件大小:4096
    • 提供者:ilove1314salang
  1. 微机原理实验8255数码管计数

  2. 微机原理实验8255数码管计数利用8255A作为输入输出接口,设计一个矩阵键盘识别电路,采用翻转法获取按键键值,并以下面两种方法显示键值: ①利用8个发光二极管以二进制形式显示按键键值。 ②在LED数码管上以十进制和十六进制两种形式显示按键键值,可利用开关切换,选择用哪种进制形式显示按键键值。 要求:设计实验电路,在Proteus ISIS环境下输入电路原理图,编写汇编源程序,并进行仿真调试,验证电路和程序的正确性。矩阵键盘参考实验电路如
  3. 所属分类:嵌入式

    • 发布日期:2010-06-09
    • 文件大小:48128
    • 提供者:sqguohuan
  1. 用VHDL设计的计数显示电路

  2. 设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)。
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:126976
    • 提供者:iddudumegaoyuan
  1. 在MAX+PLUS II中,使用图形编辑器设计一个3位的十进制加法计数器,使用VHDL语言设计一个D触发器

  2. 1.在图形编辑器中设计一个3位的十进制加法计数器,以xxxcnt3.gdf命名保存(‘xxx’为您的姓名拼音首字母)。器件设定为EPM7128LC84-6。要求能够从0计数到999。从999归零时产生一个高电平的报警信号。进行波形仿真,验证功能正确。分析此电路的最高计数频率。 2.修改这个计数器的归零值,使其计数到119就归零,增加异步清零功能,加法计数/减法计数控制功能。 3.在文本编辑器中使用VHDL语言设计一个D触发器,具有反向输出端。命名为xxxdff.vhd,仿真验证。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:167936
    • 提供者:bi_qianyu
  1. 十进制计数显示器.doc

  2. 十进制计数显示器.doc women xue xiao yong de
  3. 所属分类:专业指导

    • 发布日期:2011-01-06
    • 文件大小:202752
    • 提供者:vine001
  1. 十进制计数与显示电路的设计与仿真

  2. 十进制计数器、数码管扫描显示电路 主要程序如下: LED显示程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tled is port(din: in std_logic_vector(7 downto 0); sn: out std_logic_vector(2 downto 0); clk: in std_logic; LED7: out std_logic_vecto
  3. 所属分类:硬件开发

    • 发布日期:2011-05-18
    • 文件大小:573440
    • 提供者:zhaohangke
  1. 74ls90十进制数字24小时时钟设计图

  2. 优点:设计简单,易操作,可添加额外功能,缺点:74ls90为异步十进制计数,反映不如同步计数快
  3. 所属分类:硬件开发

    • 发布日期:2011-05-26
    • 文件大小:1048576
    • 提供者:csxiazaipndao
  1. 计数和译码以及显示电路

  2. 用十进制计数器、译码器、显示器组成一个十进制计数显示电路。 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 掌握计数器、译码器、显示器的应用。
  3. 所属分类:嵌入式

    • 发布日期:2012-05-04
    • 文件大小:2097152
    • 提供者:huicc789
  1. 六位十进制计数显示器

  2. 设计6位十进制计数显示器电路,要认真并准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)能够实现0-999999的计数并显示; (2)具备计数数据的锁存功能; (3)采用数码管显示; (4)具备复位清零功能。
  3. 所属分类:专业指导

    • 发布日期:2009-02-19
    • 文件大小:26624
    • 提供者:wt870102
  1. 基于MAX+ PLUS 的十进制计数器的设计

  2. 摘要:MAX+PLUSⅡ软件是一种易学易用的设计开发环境,它在数字电路设计中的应用越来越广泛。基于此,首先介绍了MAX+PLUSⅡ软件常用的设计输入方法;其次设计了十进制计数电路,并用MAX+PLUSⅡ软件对电路进行了仿真;最后将该电路图下载到实验箱验证了其功能的正确性。0引言MAX+PLUSⅡ开发系统是易学易用的完全集成化的设计开发环境。目前已发行10.0版本。该软件与LATTICE公司的iSPEXPERT及XILINX的FOUNDATION相比具有使用简单,操作灵活,支持的器件多,设计输入方
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:358400
    • 提供者:weixin_38662213
  1. EDA/PLD中的基于MAX+ PLUS 的十进制计数器的设计

  2. 摘 要: MAX+ PLUS Ⅱ 软件是一种易学易用的设计开发环境, 它在数字电路设计中的应用越来越广泛。基于此, 首先介绍了MAX + PLUS Ⅱ 软件常用的设计输入方法; 其次设计了十进制计数电路, 并用MAX + PLUS Ⅱ软件对电路进行了仿真; 最后将该电路图下载到实验箱验证了其功能的正确性。   0 引言   MAX+ PLUS Ⅱ 开发系统是易学易用的完全集成化的设计开发环境。目前已发行10. 0 版本。该软件与LATTICE公司的iSPEXPERT及XILINX的FOUND
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:253952
    • 提供者:weixin_38738005
  1. 显示/光电技术中的十进制计数显示器主要特性参数

  2. 十进制计数显示器具有BCD码信息输出、控灭、无效零熄灭、小数点显示、复位及进位功能,且具有功耗低、可靠性高、寿命长的特点。它在数字化仪器、仪表及各种数字显示电子设备中作十进制计数用。其主要特性参数见表。                                                                          表:十进制计数显示器主要特性参数   
  3. 所属分类:其它

    • 发布日期:2020-11-22
    • 文件大小:70656
    • 提供者:weixin_38612139
  1. 显示/光电技术中的十进制计数显示器

  2. 十进制计数显示器是由强驱动CMOS集成电路与LED数码显示器有机结合而组成的功能模块,具有计数、寄存、译码驱动及LED显示四合一功能。其电路框图如图所示,其计数功能和控制功能分别见表及表。 图:十进制计数显示器电路框图表:十进制计数显示器计数功能表 表:十进制计数显示器控制功能表  
  3. 所属分类:其它

    • 发布日期:2020-11-22
    • 文件大小:86016
    • 提供者:weixin_38642897
  1. 基于MAX+ PLUS 的十进制计数器的设计

  2. 摘 要: MAX+ PLUS Ⅱ 软件是一种易学易用的设计开发环境, 它在数字电路设计中的应用越来越广泛。基于此, 首先介绍了MAX + PLUS Ⅱ 软件常用的设计输入方法; 其次设计了十进制计数电路, 并用MAX + PLUS Ⅱ软件对电路进行了仿真; 将该电路图到实验箱验证了其功能的正确性。   0 引言   MAX+ PLUS Ⅱ 开发系统是易学易用的完全集成化的设计开发环境。目前已发行10. 0 版本。该软件与LATTICE公司的iSPEXPERT及XILINX的FOUNDATIO
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:359424
    • 提供者:weixin_38569166
« 12 3 4 5 6 7 8 9 10 ... 15 »