您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 8路彩灯控制器(VHDL)

  2. 通过编译仿真,测试成功 整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制. 四种花样分别为:    (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。    (2)彩灯两边同时亮两个,然后逐次向中间点亮。    (3)彩灯从左到右两个两个点亮,然后从右到左两个两个逐次点亮。    (4)彩灯中间两个点亮。然后同时向两边散开。
  3. 所属分类:嵌入式

    • 发布日期:2009-09-10
    • 文件大小:363520
    • 提供者:CATdream
  1. VHDL四样彩灯控制器

  2. 通过编译,测试成功,没有软件的可用记事本打开 整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制. 四种花样分别为:    (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。    (2)彩灯两边同时亮两个,然后逐次向中间点亮。    (3)彩灯从左到右两个两个点亮,然后从右到左两个两个逐次点亮。    (4)彩灯中间两个点亮。然后同时向两边散开。
  3. 所属分类:专业指导

    • 发布日期:2009-09-10
    • 文件大小:3072
    • 提供者:CATdream
  1. 数字电路四花样彩灯课程设计

  2. 第一章 设计要求 1.1 设计课题及要求 (一) 题目:四花样彩灯控制器 (二) 基本要求:设计一四花样自动切换的彩灯控制器,要求实现 (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。 (三) 主要参考元器: 555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74
  3. 所属分类:专业指导

    • 发布日期:2009-09-15
    • 文件大小:1048576
    • 提供者:wo898757
  1. 四花样彩灯控制器设计

  2. 四花样自动切换的彩灯控制器第一种花样为彩灯一亮一灭,从左向右移动;第二种花样为彩灯两亮两灭,从左向右移动;第三种花样为彩灯四亮四灭,从左向右移动;第四种花样为彩灯1到8从左到右逐次点亮,又从左到右逐次熄灭。4种花样自动变换,循环往复。采用双555组成双振荡器电路,CP1直接控制驱动LED的芯片的移位脉冲端以及二进制计数器以便输出4个分频信号,CP2控制双D触发器,其输出的信号作为下一级数据选择器的输入信号,数据选择器产生的信号直接驱动闪动演示电路。由于555可以通过调节RW1获得一定范围内的C
  3. 所属分类:嵌入式

    • 发布日期:2009-10-14
    • 文件大小:2097152
    • 提供者:yang2lan
  1. 数字彩灯控制器-数字电路

  2. 设计一个彩灯控制器,能够控制8路彩灯输出,要求有四种花样输出且具有低功耗手动可调等基本的功能。 (1)为了巩固数字电子技术所学知识,进一步学习和熟悉各种常用芯片的规格和使用,能掌握电路的组装和基本问题的排除。 (2)提高培养动手能力和实际解决问题的能力,加深对课堂知识的理解和运用。熟练电路设计软件的使用和电路开发能力。 (3)仿真对电路设计十分重要,因此作为电路设计者掌握一种仿真软件的使用是非常有必要的,这次课程设计所使用的绘电路图软件是protel99se,仿真软件用的是protues。通过
  3. 所属分类:嵌入式

    • 发布日期:2010-03-17
    • 文件大小:163840
    • 提供者:abc6142897
  1. 数字电子课程设计--四花样彩灯控制器

  2. (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。
  3. 所属分类:专业指导

    • 发布日期:2010-03-18
    • 文件大小:1048576
    • 提供者:wkdxuelei
  1. 数电课程设计四花样彩灯控制器

  2. 彩灯一亮一灭,从左向右移动; 彩灯两亮两灭,从左向右移动; 四亮四灭,从左向右移动 从1~8从左到右逐次点亮,然后逐次熄灭; 四种花样自动变换。
  3. 所属分类:专业指导

    • 发布日期:2010-06-15
    • 文件大小:310272
    • 提供者:jaylin628
  1. 四花样彩灯控制器--课程设计

  2. 此控制器可实现 (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。
  3. 所属分类:专业指导

    • 发布日期:2012-04-26
    • 文件大小:1048576
    • 提供者:l001100
  1. 数字电路课程设计

  2. 1、设计任务 (1)彩灯一亮一灭,从左向右移动 (2)彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从左到右1~8灯逐次点亮,然后逐次熄灭 (5)四种花样自动变换 (6)可以手动选择花型输出
  3. 所属分类:游戏开发

    • 发布日期:2012-05-30
    • 文件大小:680960
    • 提供者:shaye457470072
  1. 四花样彩灯控制器

  2. 1、设计任务 (1)彩灯一亮一灭,从左向右移动 (2)彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从左到右1~8灯逐次点亮,然后逐次熄灭 (5)四种花样自动变换 本次设计用多谐振荡器、计数器,数据选择器和8位移位寄存器实现。 试验过程完整。
  3. 所属分类:硬件开发

    • 发布日期:2012-09-09
    • 文件大小:212992
    • 提供者:wangyanke520
  1. 四花样彩灯控制器

  2. 课程设计:四花样彩灯控制器的课程设计,完整版
  3. 所属分类:专业指导

    • 发布日期:2013-06-17
    • 文件大小:1048576
    • 提供者:yanghu0791
  1. 数字电路课程设计——彩灯设计

  2. 非常好的数电课程设计报告 花费了我不少心血 四花样彩灯设计
  3. 所属分类:嵌入式

    • 发布日期:2013-11-06
    • 文件大小:231424
    • 提供者:u010039808
  1. eda彩灯控制课程设计

  2.     设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应该有两种控制方式:     (1)、彩灯的循环变化有快慢两种节奏,彩灯能够循环变化,而且有清零的功能。 (2)、设计彩灯的花型显示为四种。
  3. 所属分类:电信

    • 发布日期:2014-01-12
    • 文件大小:179200
    • 提供者:u013445388
  1. 四花样彩灯控制器--课程设计

  2. 目 录 前言 …………………………………………………………………………… 1 第一章 设计要求 …………………………………………………………… 2 1.1 设计课题及要求 …………………………………………………… 2 第二章 系统组成及工作原理 ……………………………………………… 3 2.1 系统组成框图 ……………………………………………………… 3 2.2 工作原理分析 ……………………………………………………… 3 第三章 电路方案设计 ……………………………………………………… 5
  3. 所属分类:嵌入式

    • 发布日期:2009-03-01
    • 文件大小:1030144
    • 提供者:mpb2008
  1. 课程设计四:LED彩灯控制器设计.zip

  2. 含有protues图和程序(全注释,连变量都注释) 1、至少10个发光管4种花样自动变换,循环往复。 2、彩灯花样变换的快慢节拍可以手动和自动方式控制,手动控制按钮按一次转换一次;自动控制方式每15秒变换一次,1分钟循环一遍。 3、系统复位清零开关。
  3. 所属分类:机器学习

    • 发布日期:2019-07-04
    • 文件大小:135168
    • 提供者:qq_38351824
  1. EDA/PLD中的基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:58368
    • 提供者:weixin_38656364
  1. .......................

  2. (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:1030144
    • 提供者:zt131455
  1. 基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:57344
    • 提供者:weixin_38693311