您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于CPLD的MIDI音乐播放器的设计.kdh

  2. 基于CPLD的MIDI本音乐播放器依据MIDI音乐基本原理,结合EDA技术,采用ALTERA公司的可编程逻辑器件(CPLD)EPF10LC84-4作 为控制核心而设计的。本文主要阐述了利用VHDL语言设计MIDI音乐发生器芯片,再配上必要的外围电路,从而实现四首 音乐选择播放、并配有随音乐节奏而闪烁变化的彩灯等功能的EDA应用系统。 音乐播放器的设计,
  3. 所属分类:嵌入式

    • 发布日期:2009-05-20
    • 文件大小:66560
    • 提供者:yimilai88
  1. 彩灯控制器毕业设计资料

  2. 彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用,也可用在舞台上增强晚会灯光效果。实现彩灯控的方案很多,不同的控制方案,其设计方法和思路也不一样。本文介绍一种一种基于SOPC的32路彩灯控制器,该彩灯控制器在vhdl 硬件描述语言设计其核心部件,再配以适当的外围电路构成,上电后,彩灯系统无需外加输入信号,能自动循环演示十六种花型,彩灯明暗变换节拍为 0.25 秒和 0.5 秒,快慢两种节拍自动交替运行。该系统较以前的传统设计具有硬件电路简单、体积小、功
  3. 所属分类:专业指导

    • 发布日期:2010-04-30
    • 文件大小:332800
    • 提供者:summer13987
  1. 基于VHDL的可变速彩灯控制器的设计

  2. 彩灯控制论文,很不错哦!毕业设计可以参考的啊!
  3. 所属分类:其它

    • 发布日期:2011-05-12
    • 文件大小:1048576
    • 提供者:huizhanggege
  1. 基于CPLD的大型彩灯控制

  2. 基于cpld的彩灯控制,内有详细的结构描述以及部分vhdl 代码可供参考。
  3. 所属分类:嵌入式

    • 发布日期:2011-05-20
    • 文件大小:360448
    • 提供者:lxsysl
  1. 课程设计题目范例 硬件设计内容

  2. 硬件设计的 二十多个题目范例19. 基于VHDL设计汽车尾灯控制电路设计与实现 20. 基于VHDL设计数字密码锁设计与实现 21. 错误检测与纠正电路的设计与实现 22. 基于VHDL的彩灯控制器设计与实现 23. 基于VHDL的水温控制系统设计与实现 24. 基于VHDL语言的卷积码编解码器设计与实现 25. 基于VHDL键盘控制电路的设计与实现 26. 基于VHDL十进制BCD码检测电路的设计与实现 27. 基于VHDL的FFT变换单元设计
  3. 所属分类:专业指导

    • 发布日期:2011-07-02
    • 文件大小:162
    • 提供者:wenshxcx1234
  1. 多路彩灯控制器_数字电路课程设计实验报告

  2. 怎么开展彩灯实验?实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。基于本次实习要求设计的彩灯路数较少,且花型变换较为简单,使用EP3C80适配板硬件实验的要求,故采用VHDL语言实现硬件设计控制电路。
  3. 所属分类:专业指导

    • 发布日期:2015-06-11
    • 文件大小:6291456
    • 提供者:sqh1607681430
  1. 基于FPGA的流水灯设计

  2. 本设计基于超高速硬件描述语言VHDL在Altera公司的实验箱主控板上编程实现,主要由状态机完成对彩灯的控制,并有分频模块分别控制彩灯的循环及数码管的扫描计时,完成彩灯的自动循环、手动控制、清零、及定时功能。
  3. 所属分类:硬件开发

    • 发布日期:2020-04-03
    • 文件大小:4194304
    • 提供者:qq_42047759
  1. 基于FPGA的彩灯控制器的设计

  2. 本文介绍了以VHDL为基础的十二路彩灯控制系统,该新型LED彩灯控制器产品实际应用效果较好, 亮灯模式多,用户可以根据不同场合和时间来调节亮灯频率和亮灯时间。
  3. 所属分类:硬件开发

  1. 基于VHDL实现的十六路彩灯控制系统

  2. 随着科学技术的发展以及人民生活水平的提高,在现代生活中,彩灯作为一种装饰,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA(Elect ron icDesign A u tom at ic)技术的应用引起电子产品及系统开发的革命性变革。
  3. 所属分类:其它

    • 发布日期:2020-08-02
    • 文件大小:76800
    • 提供者:weixin_38588394
  1. 基于CPLD的MIDI音乐播放器

  2. 本音乐播放器依据MIDI音乐基本原理,结合EDA技术,采用ALTERA公司的可编程逻辑器件(CPLD)EPF10LC84-4作为控制核心而设计的。本文主要阐述了利用VHDL语言设计MIDI音乐发生器芯片,再配上必要的外围电路,从而实现四首音乐选择播放、并配有随音乐节奏而闪烁变化的彩灯等功能的EDA应用系统。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:169984
    • 提供者:weixin_38747126
  1. 基于VHDL的可变速彩灯控制器的设计

  2. 本文以Alter公司提供的Max+PlusⅡ为平台,设计一个可变速的彩灯控制器,可以在不修改硬件电路的基础上,仅通过更改软件就能实现任意修改花型的编程控制方案,实现控制16只LED以8种花型和4种速度循环变化显示,而且设计非常方便,设计的电路保密性强。
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:729088
    • 提供者:weixin_38695471
  1. 基于VHDL的16路可调速彩灯控制器设计

  2. 本文在QuartusⅡ开发环境下,用VHDL语言设计了一种可用于控制16路彩灯,具有4种彩灯变换模式,且变换速度可调的彩灯控制器。
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:494592
    • 提供者:weixin_38733367
  1. EDA/PLD中的基于VHDL的可变速彩灯控制器的设计

  2. 0 引言   硬件描述语言(HDL)是相对于一般的计算机软件语言如C,Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用HDL程序来描述所希望的电路系统,规定其结构特征和电路的行为方式,然后利用综合器和适配器将此程序变成能控制FPGA和CPLD内部结构,并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。VHDL(VeryHigh Speed Integrated Circuit Hardware descr ipt
  3. 所属分类:其它

    • 发布日期:2020-11-06
    • 文件大小:1003520
    • 提供者:weixin_38514620
  1. EDA/PLD中的基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:58368
    • 提供者:weixin_38656364
  1. EDA/PLD中的基于VHDL的彩灯控制

  2. 摘 要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。   关键词:VHDL;彩灯;仿真;控制   随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯
  3. 所属分类:其它

    • 发布日期:2020-11-25
    • 文件大小:76800
    • 提供者:weixin_38681318
  1. 显示/光电技术中的基于VHDL实现的十六路彩灯控制系统

  2. 随着科学技术的发展以及人民生活水平的提高,在现代生活中,彩灯作为一种装饰,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA(Elect ron icDesign A u tom at ic)技术的应用引起电子产品及系统开发的革命性变革。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。在这个阶段,人们开始追
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:247808
    • 提供者:weixin_38638163
  1. 基于VHDL的彩灯控制

  2. 摘 要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。关键词:VHDL;彩灯;仿真;控制  随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:322560
    • 提供者:weixin_38735101
  1. 基于VHDL的彩灯控制

  2. 摘 要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。   关键词:VHDL;彩灯;仿真;控制   随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:75776
    • 提供者:weixin_38652636
  1. 基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:57344
    • 提供者:weixin_38693311
  1. 基于VHDL的可变速彩灯控制器的设计

  2. 0 引言   硬件描述语言(HDL)是相对于一般的计算机软件语言如C,Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用HDL程序来描述所希望的电路系统,规定其结构特征和电路的行为方式,然后利用综合器和适配器将此程序变成能控制FPGA和CPLD内部结构,并实现相应逻辑功能的门级或更底层的结构网表文件和文件。VHDL(VeryHigh Speed Integrated Circuit Hardware descr iptio
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:1048576
    • 提供者:weixin_38632624