您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多路彩灯控制器8路移存型彩灯控制器

  2. 多路彩灯控制器设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟;
  3. 所属分类:专业指导

    • 发布日期:2009-07-13
    • 文件大小:410624
    • 提供者:coffin2
  1. 基于at89c51单片机的led彩灯控制器设计

  2. 基于at89c51单片机的led彩灯控制器设计
  3. 所属分类:硬件开发

    • 发布日期:2009-12-09
    • 文件大小:216064
    • 提供者:sxf544580
  1. 彩灯控制器设计 单片机实现 程序代码

  2. 彩灯控制器设计 51 单片机 程序代码 编程实现 电路图
  3. 所属分类:硬件开发

    • 发布日期:2010-01-02
    • 文件大小:259072
    • 提供者:a72quanhh
  1. [毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc

  2. [毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc[毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc[毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc
  3. 所属分类:专业指导

    • 发布日期:2010-01-28
    • 文件大小:238592
    • 提供者:gaga198649
  1. 音乐彩灯控制器设计报告

  2. 设计了一个由音乐声响控制彩灯灯光效果的控制电路.该彩灯控制器有两路,分别由不同颜色的LED组成;驻极体话筒拾取语音信号经过运放放大以及电容耦合,RC滤波后去控制后续电路.第一路通过一组电压比较器对输入的信号进行比较达到点亮不同数目的LED的目的;音量大,彩灯被点亮的数目增多,音量低,彩灯被点亮数目减少;第二路分别通过一个高通滤波器和低通滤波器达到按音调高低控制彩灯的目的.低音时,某一部分亮;高音时,另一部分灯点亮.
  3. 所属分类:专业指导

    • 发布日期:2010-05-27
    • 文件大小:728064
    • 提供者:liujing3320254
  1. 彩灯控制器设计随着电子技术的发展,当前数字系统的设计正朝着速度快,容量大,体积小,重量轻的方向发展。推动该潮流迅猛发展的引擎就是日趋进步和完善的ASIC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上至下地逐

  2. 随着电子技术的发展,当前数字系统的设计正朝着速度快,容量大,体积小,重量轻的方向发展。推动该潮流迅猛发展的引擎就是日趋进步和完善的ASIC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上至下地逐层完成相应的描述,综合,优化,仿真与验证,直到生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计过程几乎都可以用计算机来自动完成,也就是说做到了电子设计自动化(EDA)。这样做可以大大地缩短系统的设计周期,以适应当今品种多,批量小的电子市场的需求,提高产品的
  3. 所属分类:嵌入式

    • 发布日期:2010-05-28
    • 文件大小:381952
    • 提供者:hyl847308532
  1. 基于单片机的LED彩灯控制器设计

  2. 本论文着重阐述了LED彩灯控制器的设计方法,以AT89C51单片机作为主控核心,按键、数码管等较少的辅助硬件电路相结合,采用模块设计,利用软件实现对LED彩灯进行控制。本系统具有体积小、硬件少、电路结构简单、软件功能完善,控制系统可靠,性价比较高等优点。 论文主要论述了基于单片机的LED彩灯控制器的设计过程。主要工作如下:重点论证了系统方案的选择及其实现方法;全文详细论述了系统的软、硬件电路,其中硬件部分重点介绍了单片机的基本结构和各引脚以及晶振电路、复位电路的工作原理,软件部分重点叙述主程序
  3. 所属分类:C

    • 发布日期:2010-06-10
    • 文件大小:721920
    • 提供者:lijun172
  1. 彩灯控制器 课程设计

  2. 音乐彩灯控制器设计 十六路彩灯控制系统的实现
  3. 所属分类:专业指导

    • 发布日期:2010-06-17
    • 文件大小:4194304
    • 提供者:qiangge1109
  1. 课程设计多路彩灯控制器设计

  2. 一 、实验目的 1. 进一步掌握数字电路课程所学的理论知识。 2. 了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3. 熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二 、设计题目与思路 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1.自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。 4.选择:用可编
  3. 所属分类:嵌入式

    • 发布日期:2010-09-02
    • 文件大小:156672
    • 提供者:chengchenxiang
  1. 音乐数字彩灯控制器设计

  2. 音乐数字彩灯控制器设计。
  3. 所属分类:硬件开发

    • 发布日期:2017-02-12
    • 文件大小:3145728
    • 提供者:lichenyin2015
  1. 基于CPLD的32路彩灯控制器设计

  2. 基于CPLD的32路彩灯控制器设计 数电课程设计论文 电路图 VHDL源程序齐全 有需要的就下吧
  3. 所属分类:专业指导

    • 发布日期:2008-12-05
    • 文件大小:162816
    • 提供者:firefox17347148
  1. 彩灯控制器 设计报告

  2. 彩灯控制器 设计报告彩灯控制器 设计报告彩灯控制器 设计报告彩灯控制器 设计报告
  3. 所属分类:专业指导

    • 发布日期:2008-12-29
    • 文件大小:154624
    • 提供者:wgz3000
  1. 音乐彩灯控制器设计原理图

  2. 本文主要介绍了音乐彩灯控制器设计原理图。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:31744
    • 提供者:weixin_38535364
  1. 基于TTL电路的LED可调彩灯控制器设计

  2. LED彩灯低耗节能、灯光色彩丰富,造价低廉,因而在日常生活、生产中,得到了广泛的应用。目前市场上销售的全硬件LED彩灯控制器大多数频率不可调,导致闪亮模式单一、选择性差。嵌入单片机的彩灯控制器,虽然可以通过修改程序来调整频率,但一般用户往往很难做到,从某种程度上说这也是一种频率单一的彩灯控制器。为此有必要对现有控制电路进行改进,在电路的设计上实现了档位控制和档位自动显示;通过可调定时器产生10组时钟振荡脉冲送入触发器DM74LS74AN;再由触发器74LS74实现四分频控制彩灯闪烁频率;实际组装
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:160768
    • 提供者:weixin_38554186
  1. 基于VHDL的16路可调速彩灯控制器设计

  2. 本文在QuartusⅡ开发环境下,用VHDL语言设计了一种可用于控制16路彩灯,具有4种彩灯变换模式,且变换速度可调的彩灯控制器。
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:494592
    • 提供者:weixin_38733367
  1. 显示/光电技术中的基于TTL电路的LED可调彩灯控制器设计

  2. 引言        LED彩灯低耗节能、灯光色彩丰富,造价低廉,因而在日常生活、生产中,得到了广泛的应用。目前市场上销售的全硬件LED彩灯控制器大多数频率不可调,导致闪亮模式单一、选择性差。嵌入单片机的彩灯控制器,虽然可以通过修改程序来调整频率,但一般用户往往很难做到,从某种程度上说这也是一种频率单一的彩灯控制器。为此有必要对现有控制电路进行改进,在电路的设计上实现了档位控制和档位自动显示;通过可调定时器产生10组时钟振荡脉冲送入触发器DM74LS74AN;再由触发器74LS74实现四分频控制
  3. 所属分类:其它

    • 发布日期:2020-11-02
    • 文件大小:139264
    • 提供者:weixin_38738189
  1. EDA/PLD中的基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:58368
    • 提供者:weixin_38656364
  1. 单片机与DSP中的基于AT89C51单片机的LED彩灯控制器设计

  2. 1引言  随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰 富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态 参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而
  3. 所属分类:其它

    • 发布日期:2020-11-19
    • 文件大小:176128
    • 提供者:weixin_38619467
  1. 基于CPLD的32路彩灯控制器设计

  2. 基于CPLD的32路彩灯控制器设计、电子技术,开发板制作交流
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:162816
    • 提供者:weixin_38693967
  1. 基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:57344
    • 提供者:weixin_38693311
« 12 3 4 5 6 7 8 9 10 »