您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字秒表的设计数字秒表的设计数字秒表的设计数字秒表的设计

  2. 电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计
  3. 所属分类:专业指导

    • 发布日期:2009-05-03
    • 文件大小:591872
    • 提供者:jianke16890
  1. 汇编语言程序设计——电子秒表

  2. 一、 设计内容与要求 设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)。设计要求如下: (1)由键盘和8255作为输入部分 (2)用七段数码管或液晶显示屏来显示时间 (3)由8253和8259构成计时信号,通过中断完成启动计时、停止计时和动态刷新显示。 二、 设计思想 电子秒表要实现的功能:用键盘中断来控制整个程序,按一下回车键启动电子秒表,再按一下暂停,按一下ESC键清零,用七段数码管显示时间。整个程序
  3. 所属分类:硬件开发

    • 发布日期:2009-06-01
    • 文件大小:95232
    • 提供者:dikixiao2009
  1. vhdl语言实现秒表功能

  2. 利用vhdl语言编写的秒表功能,通过编译无错误
  3. 所属分类:C/C++

    • 发布日期:2009-06-01
    • 文件大小:822
    • 提供者:hhhhhfffff
  1. 秒表系统设计.doc

  2. 好东西啊秒表系统设计——用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一。另设计一个“开始”按键和一个“复位”按键。
  3. 所属分类:C

    • 发布日期:2009-06-04
    • 文件大小:48128
    • 提供者:wdcjhh2009
  1. 数字秒表 verilog HDL实现

  2. 自己写的一个数字秒表,已经在实验板上面验证通过 /**********************************************************/ /*MODULE: stopwatch */ /*FILE NAME: stopwatch.v */ /*VERSION: v3.0 */ /*DATE: 2009-05-31 */ /*AUTHOR: ht5815 */ /*DEscr iptION: stopwatch display whit 8 LEDs */ /**
  3. 所属分类:其它

    • 发布日期:2009-06-08
    • 文件大小:8192
    • 提供者:ht5815
  1. 数字电路课程实验设计 设计一个数字秒表

  2. 在体育比赛、时间精确测量等场合通常要求即使精确度到1%(即10ms) 甚至更高的计时装置,数字秒表是一种精确的计时仪表,可以担当此任。本课题的设计任务是设计一个以数字方式显示的计时器,即数字秒表。
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:157696
    • 提供者:lxleversleeping
  1. 实现秒表,时间日期显示调整功能及整点定时功能的电子时钟

  2. 功能强大的电子时钟 可实现秒表,时间,日期,定时功能及其调整 整点报时及定时时实现流水灯显示功能 含硬件电路及配套的C51程序
  3. 所属分类:C

  1. 数电课程设计数字电子秒表设计

  2. 电子秒表在生活中的应用,它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒。广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便,充分利用定时器,能有效的加强我们的工
  3. 所属分类:专业指导

    • 发布日期:2009-06-15
    • 文件大小:209920
    • 提供者:yellowabc123
  1. 数字电子秒表终稿.doc

  2. 数字电子秒表(硬件)设计 电子秒表在生活中的应用,它可广泛应用于对运动物体的速度、加速度的测量实验
  3. 所属分类:专业指导

    • 发布日期:2009-06-17
    • 文件大小:923648
    • 提供者:coca1314
  1. 数电课程设计数字电子秒表设计

  2. 数电课程设计数字电子秒表设计 我们自己做的课程设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-17
    • 文件大小:1048576
    • 提供者:coca1314
  1. 实用秒表-记录心跳的声音

  2. 好用的秒表 记住你的心跳 生活少不了的细微的记录
  3. 所属分类:专业指导

    • 发布日期:2009-06-18
    • 文件大小:24576
    • 提供者:duguhun
  1. 单片机课设_)数字秒表_Seconds

  2. 用Keil开发的基于c51的单片机课程设计:数字秒表。C语言编写。LED显示,具有开始、暂停、清零功能。防抖动处理,更加人性化。
  3. 所属分类:硬件开发

    • 发布日期:2009-06-20
    • 文件大小:183296
    • 提供者:cxsys
  1. 微机原理课程设计之秒表的实现

  2. 1. 具有秒和百分之一秒计时,并显示在屏幕中央 2. 秒表具有启/停 3. 秒表具有复位功能 在此基础上,我们为秒表加框,加色,动态显示“STOPWATCH!”, 非常好的课程设计完全资料.
  3. 所属分类:专业指导

  1. 数字逻辑课程设计 数字式秒表

  2. 数字逻辑课程设计 设计题目为数字式秒表
  3. 所属分类:专业指导

    • 发布日期:2009-06-22
    • 文件大小:350208
    • 提供者:sxuehua
  1. 数电课程设计 数字式秒表

  2. 设计任务与要求: ①设计并制作符合要求的电子秒表。 ②秒表由6位七段LED显示器显示,其中两位显示“分”,两位显示“秒”,两位显示百分秒(分辨率为0.01秒)。 ③计时最大值为99分59.99秒,误差小于0.01秒。 ④具有清零、启动计时、暂停计时、继续计时等功能。 ⑤控制操作按键不得超过2个。
  3. 所属分类:专业指导

    • 发布日期:2009-06-24
    • 文件大小:8192
    • 提供者:w709854369
  1. 数字式秒表数电课程设计

  2. 设计任务与要求: ①设计并制作符合要求的电子秒表。 ②秒表由6位七段LED显示器显示,其中两位显示“分”,两位显示“秒”,两位显示百分秒(分辨率为0.01秒)。 ③计时最大值为99分59.99秒,误差小于0.01秒。 ④具有清零、启动计时、暂停计时、继续计时等功能。 ⑤控制操作按键不得超过2个。
  3. 所属分类:专业指导

    • 发布日期:2009-06-24
    • 文件大小:2048
    • 提供者:w709854369
  1. VHDL时钟秒表没电路图MAX2文件C2

  2. 在C2基础上的VHDL时钟秒表文件 DEFAULT_DEVICES BEGIN AUTO_DEVICE = EPM7096QC100-7; AUTO_DEVICE = EPM7096LC84-7; AUTO_DEVICE = EPM7096LC68-7; AUTO_DEVICE = EPM7064QC100-7; AUTO_DEVICE = EPM7064LC84-7; AUTO_DEVICE = EPM7064LC68-7; AUTO_DEVICE = EPM7064TC44-7; AUTO
  3. 所属分类:Web开发

    • 发布日期:2009-06-24
    • 文件大小:817152
    • 提供者:whs2626031
  1. 数字式秒表原理图及仿真波形

  2. 数字式秒表原理图及仿真波形中包含 1. 99原理图及仿真波形 2. 59原理图及仿真波形 3. 顶层文件原理图及仿真波形
  3. 所属分类:嵌入式

    • 发布日期:2009-06-25
    • 文件大小:190464
    • 提供者:w709854369
  1. 数字逻辑课程设计之数字式秒表

  2. 数字是秒表 设计要求: 1.设计并制作符合要求的电子秒表, 2.由6位显示,两位显示‘分’,两位显示秒,两位显示百分秒, 3.最大至99分59.99秒 4.具有清零,启动,暂停,继续功能 5,最多2个控制按键 是我们最近的课程设计的小题目,我刚做完,采用74160十进制加法计数器设计完成,通过仿真波形测试,无60秒的暂态,误差小于0.0003秒。内附帮助文件
  3. 所属分类:嵌入式

    • 发布日期:2009-06-26
    • 文件大小:38912
    • 提供者:yjf309
  1. 数字逻辑课程设计之数字式秒表

  2. 数字是秒表 设计要求: 1.设计并制作符合要求的电子秒表, 2.由6位显示,两位显示‘分’,两位显示秒,两位显示百分秒, 3.最大至99分59.99秒 4.具有清零,启动,暂停,继续功能 5,最多2个控制按键 是我们最近的课程设计的小题目,我刚做完,采用74160十进制加法计数器设计完成,通过仿真波形测试,无60秒的暂态,误差小于0.0003秒。内附帮助文件,原理图与波形解压后请放在非中文目录下
  3. 所属分类:嵌入式

    • 发布日期:2009-06-26
    • 文件大小:5120
    • 提供者:yjf309
« 12 3 4 5 6 7 8 9 10 ... 50 »