您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 10kV级S7、S9和S11变压器技术参数表.pdf

  2. 10kV级S7、S9和S11变压器技术参数表pdf,10kV级S7、S9和S11变压器技术参数表其中基本电价EI-20元/kVA/月,电度电价EL-0.605元/kwh,变压器使用年狠n-20年,年利率i-4.14%,通货膨胀率4.8%,功率因素0.95 10kVS11系列低损耗节能变压器技术参数 高压:10(6、6.3、10、10.5、11)低压:0.4kV联结组:Y.yno或D.yn11调压范围:士5%或±2×2.5% 产品型号额定容量 损耗(W) 短路阻抗|空载电流 重量(kg) 轨距
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:246784
    • 提供者:weixin_38744153
  1. CST旗下品牌——Crouzet微型电机及减速微型电机.pdf

  2. CST旗下品牌——Crouzet微型电机及减速微型电机pdf,CST旗下品牌——Crouzet微型电机及减速微型电机解决方案 医疗设备 广告设备 门控系统 离心机 呼吸机 包装设备 食品机械 蠕动泵 传输带 自动门 闸机 轨道交通 医疗分析仪 木工机械 云台监控系统 液体控制阀 气体控制阀 太阳能控制板 速度控制 位置控制 阀门控制 Crouzet www.crouzet.com 微电机专家 电机的定制化更好的 根据您的项目量身定制的合适的电机解决方案 高诺斯为了满足市场需求,在最短的时间内为
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:3145728
    • 提供者:weixin_38743602
  1. LINAK力纳克CB8-A控制箱产品说明书.pdf

  2. LINAK力纳克CB8-A控制箱产品说明书pdf,LINAK力纳克CB8-A控制箱产品说明书CB8-2A- for two actuators Ordering example IP rating: 0=Not defined Colour: 0= Black 0= No connection on Ch 2 2= For la28.12;LA12;LA22(235Amp.+/-0.35Amp) 3= For la304L(3.00Amp.+/-0.35Amp.) 4= For la30.12L
  3. 所属分类:其它

    • 发布日期:2019-10-08
    • 文件大小:102400
    • 提供者:weixin_38744375
  1. TRM_M480_Series_SC_Rev1.00.pdf

  2. TRM_M480_Series_SC_Rev1.00novo。n 42.1M481系列引脚描述…. 67 422M482系列引脚描述…… 4.23M483系列引脚描述…… 120 424M484系列引脚描述 153 4.25M485系列引脚描述.… 185 42.6M487系列引脚描述 217 4.2.7M480多功能管脚摘要表 253 428M480按GP|O分类的多功能引脚摘要表… 282 框图 51 NuMicro m480框图 着,着 317 功能描述 61 ARM Cortex4内核
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:24117248
    • 提供者:weixin_38743602
  1. 工业 PC SIMATIC Panel PC 877[手册].pdf

  2. 工业 PC SIMATIC Panel PC 877[手册]pdf,SIEMENS 前冒 安全信息 描述 SIMATIC 应用规划 工业PC 安装 SIMATIC Pane Pc 877 连接 操作指导 集成到自动化系统中 23456789 调试 操作和组态 操作 10 功能 11 维护和检修 12 报警、错误和系统消息 13 故障排除FAQ 14 技术数据 15 尺寸图 16 详细描述 附录 07/2006 ESD指合 7ABC A5E0090171201 缩略语/首字母缩拼词列表 安全技术
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:4194304
    • 提供者:weixin_38743481
  1. IM1266交直流自适应测量智能家居物联网用电监测微型电能计量模块技术手册.pdf

  2. IM1266交直流自适应电能计量模块 1:可采集监测交/直流电压、电流、有功功率、电能、温度等电参数 2:产品自带外壳,设计美观,集成度高,体积小,嵌入式安装。 3:支持MODbus-RTU和DL/T645-2007双协议,通讯及应用简单。 4:工业级产品,测量电路或交流或直流,均能准确测量各项电参数。iRdopto 艾达光电 电能计量芯片+电能计量模块方案+电能检定装置专业提供雨 三、模块引脚定义 T 引脚 功能 out 模块 UART TTL电平发送,接外部RX RX 模块 UART TTL
  3. 所属分类:硬件开发

    • 发布日期:2019-08-17
    • 文件大小:652288
    • 提供者:qq2881379005
  1. UEFI Specification Version 2.7 Errata B [March 2019]

  2. Unified Extensible Firmware Interface (UEFI) Specification Version 2.7 Errata B March 2019Revision History Revision Mantis Number/Descr iption Date 1773 Clarify The EFI System Table entry for capsule image March 2019 2.7B 1801 Extract Config() format
  3. 所属分类:桌面系统

    • 发布日期:2019-05-24
    • 文件大小:16777216
    • 提供者:marktsai0316
  1. 驱动芯片技术手册

  2. 点阵屏驱动芯片的技术手册,详细描述了芯片的功能、原理图,封装,通迅KS0107B 64CH COMMON DRIVER FOR DOT MATRIX LCD PIN CONFIGURATION 100QFP 8显8與昌邑垦昌虽爵岛尽器B8 图回图图图囻四图图图同图 cd◎ 回团 17 6789 50 c14 c13 间间囝 回团囵回四囡图E图 60c63 DIO1 5 Fig2. 100 QFP Top view AM SUN 3/20 KS0107B 64CH COMMON DRIVER F
  3. 所属分类:硬件开发

    • 发布日期:2019-03-02
    • 文件大小:242688
    • 提供者:zyp949800
  1. Hadoop安装手册

  2. Hadoop在大数据技术体系中的地位至关重要,Hadoop是大数据技术的基础,对Hadoop基础知识的掌握的扎实程度,会决定在大数据技术道路上走多远。525将 HBase安装文件复制到 HadoopSlave节点… 83 53启动并验证 HBase 83 第6章安装部署 Mahout 86 6.1解压并安装 Mahout… 87 6.2启动并验证 Mahout 88 第7章安装部署 Snoop… 0 7.1解压并安装 Scoop… 90 7.2配置 Scoop 91 7.2.1配置 MySQL连
  3. 所属分类:Java

    • 发布日期:2019-03-02
    • 文件大小:6291456
    • 提供者:qq_35513792
  1. thinkpad键盘支持中键滚动.exe

  2. 笔者最近购买了一款ThinkPad USB小红点键盘,型号为KU-1255。 拿到手后,发现ThinkPad键盘中键无法正常实现滚动功能。通过上网查询资料,了解到双击中键并配合小红点可以实现正常的屏幕滚动功能。但是依旧是极其不方便的。 通过查询其他资料,看到一篇博客13年曾经给过一个解决方法,但是时间较为久远,所提供的exe文件已经失效,故在此重新发布此可执行文件。 原文地址为: https://blog.csdn.net/jaketseng/article/details/893065
  3. 所属分类:互联网

    • 发布日期:2020-06-23
    • 文件大小:1048576
    • 提供者:weixin_43534296
  1. 惠普hp laserjet pro mfp m128fn驱动 v15.0.15246.1255 官方版

  2. hp m128fn驱动是同型号激光多功能一体机的驱动程序,这里要说明的是hp m128产品型号分为hp m128fn、hp m128fw、hp m128fp三款设备,它们的驱动都是M127/128系列 PCLm打印驱动程序,需要的自行下载吧。产品规格参数产品类型: 黑白激光多功能一体机涵盖功能,欢迎下载体验
  3. 所属分类:其它

    • 发布日期:2020-07-09
    • 文件大小:89128960
    • 提供者:weixin_38520258
  1. 编码转换工具.exe

  2. 查找合适的编码显示文本中的乱码字符串,包含主流的编码格式:"Big5" "Big5-HKSCS" "CP949" "EUC-JP" "EUC-KR" "GB18030" "GB2312" "HP-ROMAN8" "IBM 850" "IBM 866" "IBM 874" "ISO 2022-JP" "ISO 8859-1" "ISO 8859-2" "ISO 8859-3
  3. 所属分类:QT

    • 发布日期:2020-08-05
    • 文件大小:45088768
    • 提供者:sinan1995
  1. TZZB 1255-2019 智能井盖监测终端.pdf

  2. 本标准规定了智能井盖监测终端(以下简称为监测终端)的术语和定义、缩略语、分类与结构组成、 基本要求、技术要求、试验方法、检验规则、标志、包装、运输和贮存及质量承诺。本标准适用于检查井盖用智能监测终端设备。
  3. 所属分类:制造

    • 发布日期:2020-12-05
    • 文件大小:450560
    • 提供者:single7_
  1. LM2576T-ADJG的技术参数

  2. 产品型号:LM2576T-ADJG输出电压(V):1.23~37类型:降压型输入电压最小值(V):8开关电流最大值(A):3开关频率(kHz):52封装/温度(℃):TO-220/-40~1255描述:3A, 可调输出,降压型DC/DC变换器价格/1片(套):¥12.50  
  3. 所属分类:其它

    • 发布日期:2020-12-12
    • 文件大小:25600
    • 提供者:weixin_38743235
  1. C语言实现进制转换函数的实例详解

  2. C语言实现进制转换函数的实例详解 前言: 写一个二进制,八进制,十六进制转换为十进制的函数 要求: 函数有两个参数,参数(1)是要转换为十进制的进制数,参数(2)是标示参数(1)是什么进制(2,8,16标示二进制,八进制,十六进制)。 要有报错信息,比如参数是1012,但参数(2)是2,显然是进制数表示有错误。 系统表 pg_proc 存储关于函数的信息 内部函数在编译之前需要先定义在 pg_proc.h 中,src/include/catalog/pg_proc.h CATALO
  3. 所属分类:其它

    • 发布日期:2020-12-31
    • 文件大小:57344
    • 提供者:weixin_38739837
  1. russian_asr-源码

  2. 俄语_asr 这是一个使用Nvidia NeMo工具箱的俄语自动语音识别的大学项目。 用于训练的数据集: Mozzila通用语言,俄语( ) 使用以下配置修改的基本配置在AN4数据集上获得的最佳WER: lr:0.02 重量衰减:0.005 纪元:200 WER:0.1255 = 12.55%
  3. 所属分类:其它

    • 发布日期:2021-03-18
    • 文件大小:34603008
    • 提供者:weixin_42134094
  1. 嵌入双缝光子晶体纳米束腔中的飞克级纳米机械谐振器

  2. 设计并演示了一种光机械设备,该设备包含纳米机械谐振器,该谐振器具有6.42 fg的超低有效质量。 飞克级纳米机械谐振器嵌入在双缝光子晶体纳米束腔中。 光学共振可有效读取纳米机械共振器的运动。 所制造的装置在大气中具有光学和机械特征。 在测得的射频功率谱密度中,将3.928 GHz处的峰鉴定为有效质量为6.42 fg的机械模式。测得的室温机械Q因子为1255,位移灵敏度为获得0.13 fm / ffiffiffiffiffi.pHz,它是标准量子极限的22倍。 这些演示的片上集成光机械器件结合了高
  3. 所属分类:其它

    • 发布日期:2021-03-05
    • 文件大小:1048576
    • 提供者:weixin_38500572
  1. chardet:Python字符编码检测器-源码

  2. Chardet:通用字符编码检测器 检测 ASCII,UTF-8,UTF-16(2个变体),UTF-32(4个变体) Big5,GB2312,EUC-TW,HZ-GB-2312,ISO-2022-CN(繁体中文和简体中文) EUC-JP,SHIFT_JIS,CP932,ISO-2022-JP(日语) EUC-KR,ISO-2022-KR,Johab(韩文) KOI8-R,MacCyrillic,IBM855,IBM866,ISO-8859-5,Windows-1251(Cyrillic
  3. 所属分类:其它

    • 发布日期:2021-02-27
    • 文件大小:2097152
    • 提供者:weixin_42117082
  1. CodeForces-CPP-解决方案:此存储库包含我针对各种CodeForces问题的C ++解决方案-源码

  2. 难度1100 问题755 B.波兰球和游戏: ://codeforces.com/problemset/problem/755/B 问题779 B.怪异的舍入: : 问题845 A.国际象棋锦标赛: : 问题884 B.日语填字游戏反击: : 问题985 A.国际象棋的放置: : 问题1042 A.长凳: : 问题1105 A.塞勒姆和棍子: : 问题1189 B.数字圈: : 问题1255 B.冰箱储物柜: : 问题1324 C.青蛙跳: : 问题1333 B.亲切的安东:
  3. 所属分类:其它

    • 发布日期:2021-02-15
    • 文件大小:29696
    • 提供者:weixin_42157556
  1. 基于二次谐波调制技术提高HONO测灵敏度的方法研究

  2. 亚硝酸是大气清道夫OH 自由基的主要来源之一,其对大气氧化潜力的影响是近年来大气光物理化学研究的热点。但是亚硝酸在大气中的浓度非常低,且具有易反应性、可溶性和光解性,实时准确测量大气中的亚硝酸一直是一个难点。采用中红外1255 cm-1室温连续量子级联激光器开展对痕量亚硝酸气体的探测方法研究, 利用波长调制和谐波探测技术,将系统由原来在1 s积分时间内采用直接吸收方法的测量灵敏度6.95 μg/m3提高到0.84 μg/m3。通过艾伦方差分析系统的噪声特性,得到系统的最佳积分时间为100 s,相
  3. 所属分类:其它

    • 发布日期:2021-02-07
    • 文件大小:2097152
    • 提供者:weixin_38617615
« 1 2 3»