您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. WinXP总管 XP管理工具体

  2. 2006-11-19 17:28 32,768 1-ClickCleaner.exe 2007-01-07 22:35 23,812 Addons.xml 2005-11-15 10:56 57,344 advancedwizard.dll 2006-12-29 22:39 11,264 AppletEngie.dll 2005-11-15 10:56 172,032 AppletProxy.dll 2 006-12-24 01:10 77,824 AppShutdown.exe 2009-0
  3. 所属分类:VB

    • 发布日期:2009-07-09
    • 文件大小:4194304
    • 提供者:snkht
  1. JS框架 V 1.6.4

  2. /* 为XiaoFeng.System添加一方法Scroll [2009-02-18] V 1.4.1 为Array添加一方法Index [2009-04-09] V 1.4.2 为String 添加TrimStart,TrimEnd,Trim,StartsWith,EndsWith函数 [2009-04-09] V 1.4.3 为 Number 添加 toFixed 方法 实现 数字保留小数点后几位 [2009-04-17] V 1.4.4 FileType 获得文件的名称及文件的后缀名 [
  3. 所属分类:Java

    • 发布日期:2009-07-11
    • 文件大小:32768
    • 提供者:xiaofengnet
  1. 大蜘蛛病毒库20090715

  2. 大蜘蛛是一款不错的杀毒软件,但是病毒库非常难找,为了方便大家使用,特提供大蜘蛛病毒库下载,我会定期更新病毒库,请大家关注我。
  3. 所属分类:网络攻防

    • 发布日期:2009-07-15
    • 文件大小:23552
    • 提供者:pangxitao
  1. jQuery 1.3 API 参考文档中文版 html

  2. 2009-01-29 15:06:40 +0800 + 工具里新增merge和param两个函数 * unique和trim的返回值有误 2009-01-28 16:46:25 +0800 * bind的说明里多了个I * hide,toggle的callback参数类型为FunctionFunction * show,hide,toggle的callback参数为(Optional)改为(可选) 2009-01-24 00:55:18 +0800 * [attribute!=value] j
  3. 所属分类:Javascript

    • 发布日期:2009-07-16
    • 文件大小:119808
    • 提供者:ncwsky
  1. LWUIT1.2-src

  2. SUN公司在2009-07-15发布了最新版J2ME平台的UI库:LWUIT1.2,添加了一些新的内容,升级了资源封装和主题编辑软件,改正了很多BUG,此资源为源代码,希望对大家有所帮助!
  3. 所属分类:专业指导

    • 发布日期:2009-07-20
    • 文件大小:661504
    • 提供者:gf771115
  1. vclskin 5.40.07.15

  2. vclskin delphi 皮肤控件 美化界面 窗体美化 截止 2009-8-8 最新版vcl皮肤界面控件
  3. 所属分类:C++

    • 发布日期:2009-08-07
    • 文件大小:800768
    • 提供者:lobtao
  1. jQuery1.3API参考文档中文版

  2. 2009-01-19 00:00:10 +0800 + offsetParent() * closest() 说明 2009-01-18 16:06:52 +0800 * triggerHandler 进一步说明 * trigger 进一步说明 2009-01-17 22:37:11 +0800 * live() - 与bind()不同的是,live()一次只能绑定一个事件。 * [attribute!=value] jQuery 1.3中意义改变 * load 的data参数在jQuery
  3. 所属分类:Javascript

    • 发布日期:2009-08-13
    • 文件大小:215040
    • 提供者:lookyu
  1. SIEMENS最新授权大全_2009

  2. 西门子最新授权大全 2009 ================== 04/04/2009 add keys for WinAC MP 2008, PCS7 v7.1 22/12/2008 add keys for WinAC 2008, Simatic Net 2008, RF-Manager 2008 20/08/2008 add keys for WinCC Flexible 2008 21/07/2008 add keys for WinCC v7.0 29/06/2008 add ke
  3. 所属分类:Web开发

    • 发布日期:2009-08-24
    • 文件大小:6291456
    • 提供者:Herowuking
  1. 我的C++Builder学习笔记

  2. 2008-12-04 22:13 3,118 Builder中使用Access数据库.txt 2009-01-09 23:36 131,577 builder组件继承关系.pdf 2009-07-31 02:52 5,311 Builder聊天.txt 2009-07-31 02:49 1,863 BUilder高效率代码.txt 2009-07-31 02:36 11,941 C++ Build er VCL库函数简介.txt 2008-12-28 21:37 879 DistanceInE
  3. 所属分类:C++

    • 发布日期:2009-09-11
    • 文件大小:564224
    • 提供者:haiandj
  1. Delphi VCLSkin 5.40 (Delphi5 - Delphi2009) Full Source

  2. 从Internet上收集的,供大家研究。有帮助的话还是建议买正版,其实很便宜,不带源代码90美刀,带全部源代码也才180美刀。 News In 5.40 07/15/2009 *Fix bugs in Menu.
  3. 所属分类:C++

    • 发布日期:2009-09-24
    • 文件大小:577536
    • 提供者:xjg
  1. 完美卸载 2009 Build 27.07

  2. 【基本介绍】 完美卸载2009是系统维护的瑞士军刀,不错的软件卸载工具,他可以提供全方位的服务,共可以清除总计253种软件,包括流氓软件,IE插件,广告软件等,自动上网升级病毒库和新版本。 完美卸载(本软件完全免费,不含广告与插件,不锁定主页) 系统维护的瑞士军刀,物美价廉的超级体验! 推荐:全方位的服务,我们共可以清除总计253种软件,包括流氓软件,IE插件,广告软件等,自动上网升级病毒库和新版本。 【软件功能】 1. 安装监视: 监视软件一举一动,生成准确卸载记录。 2. 智能卸载 : 彻
  3. 所属分类:网络攻防

    • 发布日期:2009-11-14
    • 文件大小:3145728
    • 提供者:wdwdwd3214
  1. httpdisk (Support for 64-bit systems)

  2. 基于HTTP的虚拟盘驱动(支持vista和win7) 该版本修正了: 1. 2009-10-21 Small bug fix for CD-images on Windows Vista and Windows 7. Support for 64-bit systems. 2. 2009-10-15 Allow to mount images without administrative privileges by Konstantin Vlasov. 3. 2009-04-12 Suppor
  3. 所属分类:其它

    • 发布日期:2009-11-28
    • 文件大小:550912
    • 提供者:alextao777
  1. libevent-1.1b_1.4.13-stable_2.0.3-alpha 源码(资料中转)

  2. libevent-1.1b ~ 1.4.13-stable ~ 2.0.3-alpha libevent-2.0.3-alpha.tar.gz [GPG Sig] - ChangeLog - Release 2009-11-19 libevent-1.4.13-stable.tar.gz [GPG Sig] - ChangeLog - Release 2009-11-17 libevent-1.4.12-stable.tar.gz [GPG Sig] - ChangeLog - Release
  3. 所属分类:DNS

    • 发布日期:2009-12-08
    • 文件大小:6291456
    • 提供者:fangcheng
  1. 十进制计数器vhdl

  2. 查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffer integer range 0 to 15; cout:out std_logic); end; architecture
  3. 所属分类:其它

    • 发布日期:2009-12-27
    • 文件大小:469
    • 提供者:duzibeihang
  1. HP-UX 系统管理员指南合集

  2. HP-UX11v3 系统管理 指南 简体中文 使用手册 打包压缩 HP-UX11v3 DOC 的目录 2010-01-22 11:21 1,026,811 418810-AA4.pdf 2009-03-20 15:10 2,115,171 438862-KA2HP System Management Homepage 安装指南(2009 年 3 月).pdf 2010-01-22 11:16 2,162,012 5187-2211.pdf 2008-06-10 23:20 2,461,202
  3. 所属分类:专业指导

    • 发布日期:2010-01-22
    • 文件大小:20971520
    • 提供者:zglcl008
  1. HP-UX 系统管理员指南合集下

  2. HP-UX11v3 系统管理 指南 简体中文 使用手册 打包压缩 HP-UX11v3 DOC 的目录 2010-01-22 11:21 1,026,811 418810-AA4.pdf 2009-03-20 15:10 2,115,171 438862-KA2HP System Management Homepage 安装指南(2009 年 3 月).pdf 2010-01-22 11:16 2,162,012 5187-2211.pdf 2008-06-10 23:20 2,461,202
  3. 所属分类:专业指导

    • 发布日期:2010-01-22
    • 文件大小:16777216
    • 提供者:zglcl008
  1. vc U盘 本机用户列表 文件操作.rar

  2. 一个基于对话框的Windows程序。实现功能如下: 一. 进程运行时的实例最多为2个。 如果运行第3个实例时,弹出相应提示”该程序允许最多同时启动两个实例” 二. 主窗口的功能: 1. 查询本机所有用户并显示其权限:“Administratror”或“Guest” (1) 创建一个辅助工作线程实现该功能 (2) 将以上查询本机的信息写入文件”UserInfo.dat”中,格式: 用户1: XXXX Administratror 用户2: XXXX Guest 用户3: XXXX Guest .
  3. 所属分类:C++

    • 发布日期:2010-02-25
    • 文件大小:3145728
    • 提供者:kk20078733
  1. ExtAspNet v2.2.1 (2009-4-1) 值得一看

  2. ExtAspNet v2.2.1 ExtAspNet是一组专业的Asp.net控件库,拥有原生的AJAX支持和丰富的UI效果, 目标是创建没有Javascr ipt,没有CSS,没有UpdatePanel,没有WebServices的Web应用程序。 支持的浏览器: IE 7.0+, Firefox 3.0+, Chrome 2.0+, Opera 9.5+, Safari 3.0+ 注:ExtAspNet基于一些开源的程序ExtJS, HtmlAgilityPack, Nii.JSON, Y
  3. 所属分类:C#

    • 发布日期:2010-04-01
    • 文件大小:8388608
    • 提供者:super_zhaowenke
  1. 【稀有】腾达W311R v2路由器V5.07.15中文固件

  2. 腾达W311R v2路由器V5.07.15中文固件 仅适合W311r v5.07.XX这种硬件的机型使用!博通BCM5356芯片组的W311r V2 ,请看好!实在不放心可以拆机看主芯片编号 注意:如果是原先软件版本号带H的雷凌Ralink 3050F芯片(2009年出的老V1版)w311r 升级此版本会变砖! 仅适用于原版软件为V5.07.xx的 硬件版本为1.0~3.0的W311Rv2机器升级
  3. 所属分类:网络设备

    • 发布日期:2017-01-07
    • 文件大小:868352
    • 提供者:yeliang1007
  1. 645-07-97测试软件.zip

  2. 国标DTL645-2007,通信规约测试软件 ,电表调试软件,常用的表号修改,时间校对,电量,事件抄读,规约标示查询等, --11:51 2008-09-25 软件更新说明 1、2008年9月25日 V1.0.2 增加设备地址读写功能。 2、2008年12月17日 V1.0.3 加大直抄延时到10秒,每级中继延时10秒。 3、2009年1月6日 V1.0.4 增加广播相位检测功能。修复通信速率转换Bug。 4、2009年3月2日 V1.0.7 增加电表自动测试功能。 5、2009
  3. 所属分类:制造

    • 发布日期:2020-01-07
    • 文件大小:1048576
    • 提供者:u014771868
« 12 3 4 5 6 »