您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. CRC-16 和 CRC-32 算法

  2. CRC-16 和 CRC-32 算法的汇编源代码
  3. 所属分类:其它

    • 发布日期:2002-08-14
    • 文件大小:15360
    • 提供者:chenxh
  1. CRC 32单元

  2. CRC 32单元
  3. 所属分类:专业指导

    • 发布日期:2004-04-28
    • 文件大小:6144
    • 提供者:chenxh
  1. CRC 32 C代码 应用 实例

  2. 一个CRC32的极小的C语言实现的实例,五分钟读完后,也许你仍不明白CRC的原理,但绝对会用.使用方法一看但知,可以稍加改造即应用到你的代码里.
  3. 所属分类:C

    • 发布日期:2009-08-06
    • 文件大小:5120
    • 提供者:monkeyq
  1. 循环冗余校验 CRC的算法分析和程序实现

  2. 摘要 通信的目的是要把信息及时可靠地传送给对方,因此要求一个通信系统传输消息必须可靠与快速,在数字通信系统中可靠与快速往往是一对矛盾。为了解决可靠性,通信系统都采用了差错控制。本文详细介绍了循环冗余校验CRC(Cyclic Redundancy Check)的差错控制原理及其算法实现。 关键字 通信 循环冗余校验 CRC-32 CRC-16 CRC-4 概述 。。。。。
  3. 所属分类:其它

    • 发布日期:2010-05-12
    • 文件大小:159744
    • 提供者:zslblue
  1. VC下循环冗余校验CRC-32程序实现

  2. 最近自己整理的VC下循环冗余校验CRC-32的实现程序
  3. 所属分类:C++

    • 发布日期:2010-12-17
    • 文件大小:7168
    • 提供者:plutolxl
  1. 获取文件CRC-32可以用做程序自身校验的软件源码

  2. 获取文件CRC-32可以用做程序自身校验应网友要求,重新整理了此源码,没有的抓紧下载啊,
  3. 所属分类:VB

    • 发布日期:2011-04-09
    • 文件大小:2048
    • 提供者:xunyingsoft
  1. bch(31,16)、CRC-32与交织码级联的实现及分析

  2. bch(31,16)、CRC-32与交织码级联的实现及分析
  3. 所属分类:C

    • 发布日期:2011-12-22
    • 文件大小:352256
    • 提供者:sunny_dg_1212
  1. CRC-32并行32bit实现

  2. 本文是基于CRC-32串行电路基础上改进的CRC-32并行实现,采取32bit并行输入的verilog实现
  3. 所属分类:硬件开发

    • 发布日期:2012-07-30
    • 文件大小:192512
    • 提供者:ljc85880938
  1. CRC-6/8/12/16/32代码

  2. CRC-6 CRC-8 CRC-12 CRC-16 CRC-32等查表和移位实现,相关部分可以参考。
  3. 所属分类:C

    • 发布日期:2013-07-21
    • 文件大小:17408
    • 提供者:goldnwar
  1. 4位并行计算crc-32

  2. 4位并行计算crc-32的异或门实现方法,式中加号代表异或,等号右边第一个加号不用。
  3. 所属分类:专业指导

    • 发布日期:2008-11-28
    • 文件大小:25600
    • 提供者:qian1era
  1. 8位并行计算crc-32

  2. 8位并行计算crc-32的异或门实现算法,式中加号代表异或,等号右边第一个加号不用。
  3. 所属分类:其它

    • 发布日期:2008-11-28
    • 文件大小:26624
    • 提供者:wuguohao111
  1. 16位并行计算crc-32

  2. 16位并行计算crc-32的异或实现,式中加号代表异或,其中等号右边第一个不用。 希望能对大家有用。
  3. 所属分类:专业指导

    • 发布日期:2008-11-29
    • 文件大小:28672
    • 提供者:chengyunhust
  1. 计算Xk+1 除以CRC-32的余式

  2. 用c语言实现计算Xk+1 除以CRC-32的余式的程序和实验报告 比较简略,希望有帮助
  3. 所属分类:C

    • 发布日期:2008-12-28
    • 文件大小:54272
    • 提供者:fdiso
  1. 用fpga实现crc-32校验

  2. 该设计可以实现一个基于并行算法的crc-32码的编码与发送机。它在每个时钟接收一个32位数据并发送出去,当连续接受10个数据后(该数据包的大小可以调整,为方便仿真特设为10),发出一个32位的crc校验码。并同过over输出一个正脉冲用其下降沿通知上位机停止发送数据,并等候下位机的确认信号returns,若其为负脉冲则表示下位机接收过程中没误码,且通过resent对上位机发一个负脉冲通知其继续发数据,若returns接受一个正脉冲则表示发生错误,并通过resent输出一个正脉冲通知上位机重复发
  3. 所属分类:硬件开发

    • 发布日期:2009-03-02
    • 文件大小:1048576
    • 提供者:u012151770
  1. 前端项目-crc-32.zip

  2. 前端项目-crc-32,纯JS CRC-32
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:523264
    • 提供者:weixin_38743602
  1. CRC-32/MPEG-2的MATLAB function函数

  2. CRC-32/MPEG-2的校验方法实现源码-MATLAB function函数,可以在simunlink嵌入式function调用。
  3. 所属分类:算法与数据结构

    • 发布日期:2020-11-18
    • 文件大小:58368
    • 提供者:wanglei8420
  1. CRC-32和CRC-32/MPEG-2校验源码-Labview版本

  2. CRC-32和CRC-32/MPEG-2的校验方法实现源码-Labview版本(2015),提供2种CRC-32的labview方法,提供CRC-32/MPEG-2校验的Labview版本(2015)方法和MATLAB function函数,可以在simunlink嵌入式function调用。
  3. 所属分类:算法与数据结构

    • 发布日期:2020-11-18
    • 文件大小:55296
    • 提供者:wanglei8420
  1. VC++使用CRC-32检测程序完整性源码

  2. 内容索引:VC/C++源码,文件操作,文件检查  VC++使用CRC-32检测程序完整性源码
  3. 所属分类:其它

    • 发布日期:2021-03-15
    • 文件大小:16384
    • 提供者:weixin_38678300
  1. 字节信息流并行CRC-32校验码电路设计与实现

  2. 字节信息流并行CRC-32校验码电路设计与实现
  3. 所属分类:其它

    • 发布日期:2021-03-08
    • 文件大小:1048576
    • 提供者:weixin_38713586
  1. 千兆以太网中CRC-32的并行实现

  2. 为了保证数据通信的可靠性,要使用一定的检错和纠错方式。循环冗余校验码(CRC)作为一种分组码,具有一定的检错功能。以太网传输帧中使用的是CRC-32校验码作为以太帧的最后4个字节,同以太帧一起传输。CRC的实现方式分为串行方式和并行方式,由于并行方式一个时钟周期内可以处理8个bit,与千兆以太网的GMII接口协议相符合,故千兆以太网的CRC校验码的生成和校验中常使用并行算法实现。本文研究了CRC校验码的串行实现算法和并行实现算法,并且用modelsim进行了二者的仿真,比较二者结果的一致性和实现
  3. 所属分类:其它

    • 发布日期:2021-01-27
    • 文件大小:1048576
    • 提供者:weixin_38738422
« 12 3 4 5 6 7 8 9 10 ... 17 »