您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的基于VHDL的可变速彩灯控制器的设计

  2. 0 引言   硬件描述语言(HDL)是相对于一般的计算机软件语言如C,Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用HDL程序来描述所希望的电路系统,规定其结构特征和电路的行为方式,然后利用综合器和适配器将此程序变成能控制FPGA和CPLD内部结构,并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。VHDL(VeryHigh Speed Integrated Circuit Hardware descr ipt
  3. 所属分类:其它

    • 发布日期:2020-11-06
    • 文件大小:1003520
    • 提供者:weixin_38514620
  1. EDA/PLD中的基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:58368
    • 提供者:weixin_38656364
  1. EDA/PLD中的基于VHDL的彩灯控制

  2. 摘 要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。   关键词:VHDL;彩灯;仿真;控制   随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯
  3. 所属分类:其它

    • 发布日期:2020-11-25
    • 文件大小:76800
    • 提供者:weixin_38681318