您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA技术课件 EDA EDA

  2. 本课件介绍了有关EDA技术的基础知识和应用,对于初学者来是一个不错的教程.
  3. 所属分类:专业指导

    • 发布日期:2009-05-21
    • 文件大小:5242880
    • 提供者:xusenlin
  1. GW-48 eda工具使用说明

  2. 学生应具有较扎实的数字电路基础知识及较强的计算机应用能力。在此基础上,相应的 理论课程重点介绍 FPGA/CPLD器件的基本原理、结构、应用,VHDL 硬件描述语言的语言 现象和语法结构,在 Quartus II 集成开发环境上应用 FPGA/CPLD器件进行电子系统设计全 流程。实验课首先是熟悉使用 Quartus II 集成开发环境和实验开发装置,在此基础上通过由 易到难,由浅入深的几个电子系统设计的实例进行用原理图输入方式,文本输入方式输入、 代码编译、逻辑综合、结构综合、系统仿真、器件
  3. 所属分类:嵌入式

    • 发布日期:2009-08-06
    • 文件大小:254976
    • 提供者:lambooth
  1. EDA技术系统教程.。。。。。。。

  2. 这篇文章系统的介绍了EDA的基础知识以及EDA的应用电路和设计方法
  3. 所属分类:专业指导

    • 发布日期:2009-09-21
    • 文件大小:1048576
    • 提供者:sunhanquan
  1. VHDL 实用教程EDA自动化设计

  2. 关于EDA的经典教程,本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA 的数字滤波器设计第11 章多种常用 的支持VHDL 的EDA 软件使用介绍第12 章VHDL 数字系统设计实践介绍第13 章和大学生电子设计赛题的VHDL 应用介绍第14 章全书列举了大量VHDL 设
  3. 所属分类:硬件开发

    • 发布日期:2009-12-30
    • 文件大小:4194304
    • 提供者:luomin5417
  1. EDA与VHDL语言考试试卷(含答案)

  2. 电子专业的考试卷,内容主要是VHDL语言的编程,EDA的基础知识,是期末复习的好材料
  3. 所属分类:专业指导

    • 发布日期:2010-03-17
    • 文件大小:591872
    • 提供者:nemojie
  1. Verilog基础知识

  2. Verilog基础知识------学好一门言语不难,关键是学精一门语言。精在于基础,此文档就为非常好的基础知识,供各位学者学习!
  3. 所属分类:专业指导

    • 发布日期:2010-04-15
    • 文件大小:324608
    • 提供者:a625242387
  1. EDA基础知识 设计 工具 编程

  2. 20世纪末,数字电子技术得到飞速发展,有力地推动了社会生产力的发展和社会信息化的提高。在其推动下,数字电子技术的应用已经渗透到人类生活的各个方面。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用数字电子技术。
  3. 所属分类:专业指导

    • 发布日期:2010-05-12
    • 文件大小:5242880
    • 提供者:huafenglizi
  1. EDA设计的详细介绍 有例题

  2. 很详细的介绍!很不错!供各位学习EDA的基础知识!
  3. 所属分类:专业指导

    • 发布日期:2010-09-19
    • 文件大小:3145728
    • 提供者:LCgouer
  1. 数字逻辑与可编程逻辑的基础知识

  2. 数字逻辑与可编程逻辑的基础知识 1.1 EDA技术的涵义 1.2 EDA技术的发展历程 1.3 EDA技术的主要内容 1.4 EDA软件系统的构成 1.5 EDA工具的发展趋势 1.6 EDA的工程设计流程 1.7 数字系统的设计 1.8 EDA技术的应用展望
  3. 所属分类:专业指导

    • 发布日期:2010-12-20
    • 文件大小:3145728
    • 提供者:shifengjiayou
  1. eda基础知识讲解

  2. 介绍了关于eda技术的发展与VHDL语言的用法
  3. 所属分类:专业指导

    • 发布日期:2013-07-16
    • 文件大小:564224
    • 提供者:u011424687
  1. Verilog-HDL基础知识非常好的学习教程.pdf

  2. Verilog HDL是一种应用广泛的硬件描述性语言,是硬 件设计人员和电子设计自动化( EDA)工具之间的界面。 其主要目的是用来编写设计文件,建立电子系统行为级 的仿真模型。即利用计算机的巨大能力对用Verilog HDL或 VHDL建模的复杂数字逻辑进行仿真,然后再自动综合以生 成符合要求且在电路结构上可以实现的数字逻辑网表 ( Netlist), 根据网表和某种工艺的器件自动生成具体电 路,然后生成该工艺条件下这种具体电路的延时模型。
  3. 所属分类:硬件开发

    • 发布日期:2020-03-15
    • 文件大小:640000
    • 提供者:liufeng282016
  1. 电子技术基础知识存储器、复杂可编程器件和现场可编程门阵列的介绍.pdf

  2. 电子技术基础知识存储器、复杂可编程器件和现场可编程门阵列的介绍pdf,本文档的详细介绍的是电子技术基础知识存储器、复杂可编程器件和现场可编程门阵列的介绍主要内容包括了: 1 只读存储器,2 随机存取存储器,3 复杂可编程逻辑器件,4 现场可编程门阵列,5 用EDA技术和可编程器件的设计例题概述 半导体存储器几乎是当今数字系统中不可缺少的组成部分,它可 以用来存放大量二值数据。半导体存储器属于大规模集成电路。 SRAM( StatIc ram):静态RAM RAM (Random- 存倍 )Ace
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:3145728
    • 提供者:weixin_38743602
  1. AD基础知识以及嘉立创库导入AD操作介绍.txt

  2. 一、画图过程中大部分步骤都可以在网上找到,基本操作步骤适合新手https://blog.csdn.net/lin5103151/article/details/85080580。 二、AD中没有的封装库都可以去立创商城里面下载立创EDA把里面的库调用出来转换为AD的, 立创EDA库转化为AD步骤:
  3. 所属分类:电信

    • 发布日期:2020-07-22
    • 文件大小:2048
    • 提供者:qq_47137311
  1. EDA技术基础知识

  2. 本文是关于 EDA技术基础知识介绍。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:84992
    • 提供者:weixin_38637580
  1. 关于EDA基础知识的简述

  2. 1、EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了CAD、CAE、EDA三个发展阶段。 2、EDA工具以逻辑模拟、定时分析、故障仿真、自动布局/布线为核心,重点解决电路设计没有完成之前的功能检测问题。 3、EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。 4、EDA以大规模可编程逻辑器件为设计的载体,以硬件描述语言(HDL)为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件(MAX+PLUS I
  3. 所属分类:其它

    • 发布日期:2020-07-26
    • 文件大小:70656
    • 提供者:weixin_38586200
  1. 电子EDA技术的基础知识

  2. 本文将带你一起来了解关于EDA的分类、基本特征、应用、常用软件以及发展前景。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:86016
    • 提供者:weixin_38722348
  1. 简述EDA基础知识

  2. EDA技术是一门综合的学科,它代表了未来电子设计技术的发展方向,打破了软硬件之间的隔阂。
  3. 所属分类:其它

    • 发布日期:2020-08-21
    • 文件大小:83968
    • 提供者:weixin_38727825
  1. EDA/PLD中的分享:电子EDA技术的基础知识

  2. 导读::现代电子设计技术的核心就是EDA技术。EDA技术是一门综合性学科,它打破了软件和硬件间的壁垒,代表了电子设计技术和应用技术的发展方向。本文将带你一起来了解关于EDA的分类、基本特征、应用、常用软件以及发展前景。   电子设计自动化(Electronic Design Automation)的缩写即是EDA.EDA技术是把计算机技术应用在电子设计过程的一门技术,从而实现了电子设计的自动化进行,现今EDA技术已经广泛用于电子电路的设计仿真以及集成电路版图设计、印刷电路板的设计和可编程器件的
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:89088
    • 提供者:weixin_38688956
  1. 分享:电子EDA技术的基础知识

  2. 导读::现代电子设计技术的就是EDA技术。EDA技术是一门综合性学科,它打破了软件和硬件间的壁垒,代表了电子设计技术和应用技术的发展方向。本文将带你一起来了解关于EDA的分类、基本特征、应用、常用软件以及发展前景。   电子设计自动化(Electronic Design Automation)的缩写即是EDA.EDA技术是把计算机技术应用在电子设计过程的一门技术,从而实现了电子设计的自动化进行,现今EDA技术已经广泛用于电子电路的设计仿真以及集成电路版图设计、印刷电路板的设计和可编程器件的编程
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:87040
    • 提供者:weixin_38689824
  1. 电子EDA技术的基础知识

  2. EDA技术即是电子设计自动化技术,它由PLD技术发展而来,可编程逻辑器件PLD的应用与集成规模的扩大为数字系统的设计带来了极大的方便和灵活性,变革了传统的数字系统设计理念、过程、方法。通过对PLD技术不断地改进提高,EDA技术应运而生。  EDA技术就是基于大规模可编程器件的,以计算机为工具,根据硬件描述语言HDL完成表达,实现对逻辑的编译化简、分割、布局、优化等目标的一门新技术,借助EDA技术,操作者可以通过利用软件来实现对硬件功能的一个描述,之后利用FPGA/CPLD才可得到终设计结果。  
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:203776
    • 提供者:weixin_38629274
« 12 3 4 5 6 »