您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA原理及其结构

  2. 本资源讲解FPGA及其原理,幻灯片讲解,更加生动,直观。
  3. 所属分类:硬件开发

    • 发布日期:2009-04-29
    • 文件大小:6291456
    • 提供者:tadpole06
  1. 用Ver ilog HDL进行FPGA设计的原则与方法

  2. Verilog HDL是目前较流行的一种硬件描述语言,在FPGA设计中有着广泛的应用。本文首先介绍了 Verilog HDL语言的特点以及用其进行FPGA硬件开发的原则,然后在熟悉FPGA的硬件结构原理的基础上,遵 循FPGA设计流程,以分频器和状态机为例,分别讨论了组合逻辑电路和时序逻辑电路各自的特点及其设计输 入方法;最后结合FPGA的硬件特点,分析了将用Verilog HDL语言设计的电路的进行综合与设计优化并最终实 现为硬件电路的方法。
  3. 所属分类:硬件开发

    • 发布日期:2009-11-10
    • 文件大小:205824
    • 提供者:czl0394
  1. 基于FPGA的SOPC嵌入式系统设计与典型实例光盘实例2 DMA_UART-SDRAM

  2. 内容简介《基于FPGA的SOPC嵌入式系统设计与典型实例》全书通过核心技术与典型实例的形式,全面系统、深入浅出地介绍了基于FPGA的嵌入式SOPC系统设计技术与应用实例。全书共分14章,第1~3章简要介绍了FPGA硬件结构知识、VerilogHDL编程基础、FPGA常用开发工具,引导读者入门;第4~7章重点对嵌入式SOPC系统设计技术进行了细致阐述,内容包括:SOPC硬件系统开发、SOPC软件系统开发、Avalon总线规范、NiosII外围设备及其编程;第8~14章通过7个典型实例,对基于FP
  3. 所属分类:硬件开发

    • 发布日期:2010-03-11
    • 文件大小:10485760
    • 提供者:ayi711
  1. FPGA的工作原理及其应用.pdf

  2. 介绍了FPGA的原理 CLB IOB结构,编程原理 及一个应用范例
  3. 所属分类:硬件开发

    • 发布日期:2010-03-29
    • 文件大小:699392
    • 提供者:genjuro79
  1. 数字下变频电路及其验证平台的设计

  2. 提出了本设计中的DDC构架,然后对其中各部分的原理和涉及到的算法作说明。在结构清晰,理论明确的前提下,再阐明硬件实现时的设计细节和结构细节。
  3. 所属分类:其它

    • 发布日期:2010-07-24
    • 文件大小:2097152
    • 提供者:syk888888
  1. CORDIC算法及其硬件实现

  2. 细阐述了C()RDIC算法的原理、应用、硬件结构和实现。并通过基于FPGA的CORDIC算法实现和实际应用统计结果.进一步验证了使用硬件来实现C()RDIC算法所具的快速、精确和经济的特点。同时也为C()RDIC算法的ASIC实现提供了依据。
  3. 所属分类:其它

    • 发布日期:2011-01-04
    • 文件大小:287744
    • 提供者:woshilaoyu
  1. FPGA数字信号处理实现原理及方法

  2. 本书全面而又系统地介绍了基于fpga实现数字信号处理的原理及方法。全书包括12章和11个实验,主要内容包括数字信号处理设计导论、fpga的硬件结构及运算功能、信号及其处理理论概述、cordic算法原理及实现、fir滤波器和iir滤波器的设计、其他常用数字滤波器的设计、重定时信号流图、数字通信信号处理原理及实现、自适应信号处理理论基础、基于fpga的自适应信号处理实现、信号同步原理及实现、基于acceldsp的数字信号处理的实现和实验部分。本书参考了大量最新的设计资料,内容新颖、理论和应用并重,
  3. 所属分类:硬件开发

    • 发布日期:2011-02-25
    • 文件大小:3145728
    • 提供者:wuweigreat
  1. fpga原理及其应用,南开大学

  2. 1 fpga原理及其应用 2可编程逻辑器件概述 3 cpld器件结构 4 fpga和cpld器件概述 5 m7000 6 alter产品
  3. 所属分类:嵌入式

    • 发布日期:2011-03-14
    • 文件大小:162816
    • 提供者:huanhuanhelisa
  1. 全数字锁相环及其数控振荡器的FPGA设计.pdf

  2. 介绍了全数字锁相环工作原理,如何设计数控振荡器以及FPGA内部实现的功能结构等
  3. 所属分类:专业指导

    • 发布日期:2011-12-16
    • 文件大小:514048
    • 提供者:woshiyigexinren
  1. 基于FPGA的信号发生器的设计

  2. 要介绍了直接数字频率合成(DDS)的组成及其工作原理,给出了基于Ahera公司的FPGA实现多波形信号发生器的设计过程和电路结构。设计在Quartusl!软件中完成,并给出了仿真波形。该设计用FPGA实现,因此有许多优点。比如:在不修改硬件电路的情况下频率可调,波形可以选择正弦、三角等多种波形,且电路结构简单、扩展性好,具有频率范围宽、频率分辨率高、相位连续、切换速度快等优点。
  3. 所属分类:嵌入式

    • 发布日期:2011-12-17
    • 文件大小:391168
    • 提供者:shihde2012
  1. 基于FPGA的SPI串行外围接口接口设计

  2. (1)熟悉通信及通信接口相关方面的知识,学习并掌握SPI通信接口的结构,协议及原理。 (2)熟悉VERILOG语言及其开发环境ISE,使用该语言进行数字电路(FPGA)设计,慢慢深入VERILOG语言。 (3)设计流程图,状态图,并一步步用Verilog语言实现仿真验证I接口串口通信。 (4)采用实验板或自行设计电路进行调试,并采用相关仪器验证。 (5)系统整体调试、优化,或就某一部分进行优化并做深入的研究与扩展
  3. 所属分类:其它

    • 发布日期:2012-10-22
    • 文件大小:400384
    • 提供者:german010
  1. 数字通信同步技术的MTALAB与FPGA实现》PPT版

  2. ppt是书的辅助 书上目录 第1章 同步技术的概念及FPGA基础 1 1.1 数字通信中的同步技术 2 1.2 同步技术的实现方法 4 1.2.1 两种不同的实现原理 4 1.2.2 常用的工程实现途径 5 1.3 FPGA概念及其在信号处理中的应用 6 1.3.1 基本概念及发展历程 6 1.3.2 FPGA的结构和工作原理 8 1.3.3 FPGA在数字信号处理中的应用 14 1.4 Xilinx器件简介 15 1.4.1 Xilinx器件概况 15 1.4.2 Spartan系列器件 1
  3. 所属分类:讲义

    • 发布日期:2015-02-12
    • 文件大小:14680064
    • 提供者:dai891011
  1. FPGA内全数字延时锁相环的设计

  2. 现场可编程门阵YSJ(FPGA)的发展已经有二十多年,从最初的1200门发展到了 目前数百万门至上千万门的单片FPGA芯片。现在,FPGA已广泛地应用于通信、 消费类电子和车用电子类等领域,但国内市场基本上是国外品牌的天下。 在高密度FPGA中,芯片上时钟分布质量变的越来越重要,时钟延迟和时钟 偏差已成为影响系统性能的重要因素。目前,为了消除FPGA芯片内的时钟延迟, 减小时钟偏差,主要有利用延时锁相环(DLL)和锁相环(PLL)两种方法,而其 各自又分为数字设计和模拟设计。虽然用模拟的方法实
  3. 所属分类:硬件开发

    • 发布日期:2018-01-10
    • 文件大小:2097152
    • 提供者:drjiachen
  1. FPGA面试基础知识点.docx

  2. 1. 2 2. 什么是同步逻辑和异步逻辑? 2 3. 同步电路和异步电路的区别: 2 4. 时序设计的实质: 2 5. 建立时间与保持时间的概念? 2 6. 为什么触发器要满足建立时间和保持时间? 2 7. 什么是亚稳态?为什么两级触发器可以防止亚稳态传播? 2 8. 系统最高速度计算(最快时钟频率)和流水线设计思想: 2 9. 同步复位和异步复位的有何区别? 3 10. 写出一段代码,用来消除亚稳态。 3 11. 写出一段代码,对时钟进行3分频。 4 12. 设计一个gl
  3. 所属分类:硬件开发

    • 发布日期:2020-01-02
    • 文件大小:423936
    • 提供者:zzqwater
  1. 【单片机】FPGA是什么?

  2. 本文系统讲解了FPGA是什么及其结构、原理、生产厂家等内容。
  3. 所属分类:其它

    • 发布日期:2020-07-19
    • 文件大小:49152
    • 提供者:weixin_38581308
  1. 通信与网络中的卷积编码及Viterbi 解码的FPGA 实现及应用

  2. 摘要:卷积码在现代无线通信系统中应用十分广泛,Viterbi译码是最常用的一种对卷积码的译码算法。介绍了卷积编码及Viterbi串行解码的原理及其FPGA的实现。在保证系统性能的前提下讨论了分帧式编解码在实际系统中的应用。   0 引言   在现代通信系统中,信道编码技术得到了广泛的应用。卷积码结构简单,硬件实现容易,同时有着较好的查错纠错能力,因此在无线通信中经常使用,而其解码方式常用Viterbi译码。   1 卷积编码   卷积码(Convolutional Coding)是由Pg
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:280576
    • 提供者:weixin_38717980
  1. 集成电路中的基于FPGA的光纤陀螺仪模拟表头及其测试系统

  2. 光纤陀螺是激光陀螺的一种,是惯性技术和光电子技术紧密结合的产物。它利用Sagnac干涉效应,用光纤构成环形光路,并检测出随光纤环的转动而产生的两路超辐射光束之间的相位差,由此计算出光纤环旋转的角速度。光纤陀螺仪主要由两个部分组成。伺服于表头的调制解调电路根据输进的电信号,经过相应的变换后形成反馈信号送至表头的相位调制器中。在实际的应用过程中,相应的调制解调电路应该根据温度、振动等情况做出相应的改变,才能最大限度地保证陀螺的精度要求。本文设计了一种基于FPGA的测试系统,模拟光纤陀螺仪的表头,并检
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:185344
    • 提供者:weixin_38502290
  1. AD9822及其在面阵CCD系统中的应用

  2. 结合CCD成像器的特点介绍一种以AD9822+FPGA为核心的CCD视频处理方案。详述了AD9822的内部结构和工作原理及其配置方法,重点针对CCD视频信号的特点讨论CDS技术的优越性。选用FPGA器件作为硬件设计载体,使用VHDL语言对AD9822进行初始化配置和驱动时序的设计。实验证明,AD9822能够满足CCD成像系统视频处理的要求,且性能可靠,使用灵活。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:184320
    • 提供者:weixin_38625098
  1. 基于FPGA的PCI接口设计

  2. 摘 要: 介绍一种使用PCI宏核逻辑进行的更加简单高效的PCI口设计方法。该方法将PCI接口和PCI用户逻辑集成在一片FPGA里,可以对整个逻辑进行仿真调试,大大缩短了开发周期、提高了系统集成度和性能。重点叙述了ALTERA公司提供的32位TAGET接口宏核pci_t32的原理和结构,分析了时序设计要点,给出了典型应用的逻辑设计框图和注意事项。关键词:PCI总线 接口 现场可编程逻辑阵列 仿真   1 PCI总线及其接口概述PCI总线是高速同步总线,具有32bit总线宽度,工作频率是
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:218112
    • 提供者:weixin_38657457
  1. 卷积编码及Viterbi 解码的FPGA 实现及应用

  2. 摘要:卷积码在现代无线通信系统中应用十分广泛,Viterbi译码是常用的一种对卷积码的译码算法。介绍了卷积编码及Viterbi串行解码的原理及其FPGA的实现。在保证系统性能的前提下讨论了分帧式编解码在实际系统中的应用。   0 引言   在现代通信系统中,信道编码技术得到了广泛的应用。卷积码结构简单,硬件实现容易,同时有着较好的查错纠错能力,因此在无线通信中经常使用,而其解码方式常用Viterbi译码。   1 卷积编码   卷积码(Convolutional Coding)是由PgE
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:296960
    • 提供者:weixin_38706747
« 12 3 »