您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA时钟讲解 FPGA时钟讲解

  2. FPGA时钟讲解 FPGA时钟讲解 FPGA时钟讲解
  3. 所属分类:硬件开发

    • 发布日期:2009-05-06
    • 文件大小:664576
    • 提供者:RongWei1230
  1. FPGA最小系统,包含了讲解和部分程序及其全部电路

  2. 1.FPGA最小系统概念以及硬件系统的构成 2.FPGA主芯片电路设计 3.JTAG下载及调试接口 4.高速SDRAM存储器接口电路 5.异步SRAM存储器接口电路 6.FLASH存储器接口电路 7.电源,时钟,复位电路设计 8.FPGA最小系统板调试电路 等等等等。。。。。。。。。。。。。。。。。 包含丰富!
  3. 所属分类:硬件开发

    • 发布日期:2009-09-21
    • 文件大小:673792
    • 提供者:hanfenglengyue
  1. FPGA时钟讲解技巧

  2. 主要讲了FPGA设计中时钟的设计,已经时钟分频倍频等技巧
  3. 所属分类:硬件开发

    • 发布日期:2010-01-09
    • 文件大小:664576
    • 提供者:luoyejsa
  1. FPGA 时钟讲解---FPGA 初学入门时钟讲解

  2. FPGA 初学入门时钟讲解,希望大家喜欢
  3. 所属分类:硬件开发

    • 发布日期:2010-07-26
    • 文件大小:920576
    • 提供者:hhj2009
  1. VHDL源程序24小时时钟(附:FPGA 最基础 最经典的讲解)

  2. 这是我初学VHDL写的一个简单的LED24小时时钟程序,不过没有按键可调,按键消抖写了好久,没写出来,希望有高手能加入按键部分!
  3. 所属分类:嵌入式

    • 发布日期:2011-05-22
    • 文件大小:164864
    • 提供者:le_xin_chen
  1. m序列在FPGA中的同步时钟提取

  2. 以M序列为例,讲解同步时钟信号的提取,附有M序列的生成讲解,及设计中用到的诸如全数字锁相环有相关知识和相关程序。
  3. 所属分类:其它

    • 发布日期:2011-10-13
    • 文件大小:2097152
    • 提供者:iyouju
  1. FPGA设计高级进阶

  2. 详细讲解了FPGA设计中最重要的几个原则,乒乓结构,流水线设计,异步时钟 域的处理,多种状态机设计,设计文档编写,及实例。
  3. 所属分类:硬件开发

    • 发布日期:2012-03-31
    • 文件大小:1048576
    • 提供者:telebubbi
  1. FPGA时序设计

  2. 全面讲解时序信号的处理以及高速电路中时钟设计
  3. 所属分类:嵌入式

    • 发布日期:2012-11-02
    • 文件大小:4194304
    • 提供者:fengyun87
  1. FPGA时钟讲解

  2. FPGA时钟讲解
  3. 所属分类:其它

    • 发布日期:2012-12-09
    • 文件大小:920576
    • 提供者:coolfootball
  1. 高级FPGA设计结构、实现和优化

  2. 本书主要讲解了fpga设计、方法和实现。这本书略去了不太必要的理论、推测未来的技术、过时工艺的细节,用简明、扼要的方式描述fpga中的关键技术。主要内容包括:设计速度高、体积小、功耗低的体系结构方法,时钟区域,实现数学函数,浮点单元,复位电路,仿真,综合优化,布图,静态时序分析等
  3. 所属分类:硬件开发

    • 发布日期:2013-03-22
    • 文件大小:40894464
    • 提供者:xiaolin412
  1. 跨时钟域设计的英语论文

  2. 很详细,很本质的讲解FPGA或ASIC的跨时钟域的设计,英语论文,通俗易懂
  3. 所属分类:硬件开发

    • 发布日期:2013-03-25
    • 文件大小:113664
    • 提供者:xiaoxiaolinghun
  1. 高级FPGA设计 结构、实现和优化

  2. 本书主要讲解了fpga设计、方法和实现。这本书略去了不太必要的理论、推测未来的技术、过时工艺的细节,用简明、扼要的方式描述fpga中的关键技术。主要内容包括:设计速度高、体积小、功耗低的体系结构方法,时钟区域,实现数学函数,浮点单元,复位电路,仿真,综合优化,布图,静态时序分析等。.   本书把多年推广到诸多公司和工程师团队的经验以及由白皮书和应用要点汇集的许多知识进行浓缩,可以帮助读者成为高级的fpga设计者。..   本书以fpga设计为主题,覆盖了实践过程中最可能遇到的深层次问题,并提供
  3. 所属分类:硬件开发

    • 发布日期:2013-05-21
    • 文件大小:40894464
    • 提供者:letreetreele
  1. 黑金FPGA开发板verilog例程代码

  2. 黑金FPGA开发板verilog例程代码,是关于FPGA的时钟和信号的讲解
  3. 所属分类:嵌入式

    • 发布日期:2013-06-13
    • 文件大小:32505856
    • 提供者:rainyhello
  1. 黑金FPGA开发板verilog代码

  2. 黑金FPGA开发板有verilog代码,讲解关于时钟和信号的代码
  3. 所属分类:嵌入式

    • 发布日期:2013-06-14
    • 文件大小:36700160
    • 提供者:rainyhello
  1. FPGA嵌入式项目开发三位一体实战精讲

  2. 《FPGA嵌入式项目开发三位一体实战精讲》以项目背景为依托,通过大量实例,深入浅出地介绍了FPGA嵌入式项目开发的方法与技巧。全书共分17章,第1~3章为开发基础知识,简要介绍了FPGA芯片、编程语言以及常用开发工具,引导读者技术入门;第4~17章为应用实例,通过14个实例,详细阐述了FPGA工业控制、多媒体应用、消费电子与网络通信领域的开发原理、流程思路和技巧。实例全部来自于工程实践,代表性和指导性强,读者通过学习后举一反三,设计水平将得到快速提高,完成从入门到精通的技术飞跃。 《FPGA嵌
  3. 所属分类:硬件开发

    • 发布日期:2015-02-11
    • 文件大小:49283072
    • 提供者:wing58fly
  1. FPGA时钟讲解-感觉非常有用的资料

  2. FPGA时钟讲解,详细介绍FPGA的各种用法,对于初学FPGA的朋友很有用
  3. 所属分类:硬件开发

    • 发布日期:2008-11-16
    • 文件大小:664576
    • 提供者:sailor314
  1. FPGA片上可编程系统设计(时钟资源)

  2. 学习FPGA的资料,讲解FPGA硬件资源部分
  3. 所属分类:电信

    • 发布日期:2016-09-28
    • 文件大小:1048576
    • 提供者:syzxgyl
  1. FPGA面试基础知识点.docx

  2. 1. 2 2. 什么是同步逻辑和异步逻辑? 2 3. 同步电路和异步电路的区别: 2 4. 时序设计的实质: 2 5. 建立时间与保持时间的概念? 2 6. 为什么触发器要满足建立时间和保持时间? 2 7. 什么是亚稳态?为什么两级触发器可以防止亚稳态传播? 2 8. 系统最高速度计算(最快时钟频率)和流水线设计思想: 2 9. 同步复位和异步复位的有何区别? 3 10. 写出一段代码,用来消除亚稳态。 3 11. 写出一段代码,对时钟进行3分频。 4 12. 设计一个gl
  3. 所属分类:硬件开发

    • 发布日期:2020-01-02
    • 文件大小:423936
    • 提供者:zzqwater
  1. FPGA自学笔记——设计与验证VIP版.pdf

  2. 开始有计划写这本书的时候, Altera 还叫 Altera, 还没有加入 Intel 的大家庭, Xilinx 的 ZYNQ 也才刚刚开始有人探索, Altera 大学计划第一次将亚洲创新大赛由传统的 SOPC 大赛 换成了 SOC 大赛,软核变硬核,性能翻几番。 那个时候,能出一本认认真真讲 FPGA 设计的 书, 会得到非常高的评价。 而我,则由于工作变动, 中间拖沓了半年,当半年后再来准备动 笔时,才恍然领悟到, Altera 即将成为 Intel 的可编程事业部, 基于嵌入式硬核的 S
  3. 所属分类:硬件开发

    • 发布日期:2019-09-03
    • 文件大小:16777216
    • 提供者:qq_30307853
  1. 多时钟设计白皮书_Mentor.pdf

  2. 文档讲解了在FPGA中,遇到多时钟情况下,如何进行设计。 Original Replaced b Latch LSSD Scan Cell data sys clk clk Sc In Master Latch Aclk Latch sc out Slave ck Latch Bclk
  3. 所属分类:讲义

    • 发布日期:2019-07-28
    • 文件大小:636928
    • 提供者:changhaizhang
« 12 »