您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Xlinx ISE 9.X FPGA_CPLD设计指南

  2. Xilinx ISE 9.x FPGA/CPLD设计指南 目录 (这是本书的第1页到76页,只因只能上传小于20M的文件,其它的也已上传) 第1章 FPGA设计简介 1 1.1 FPGA结构简介 2 1.1.1 总体结构 2 1.1.2 可配置逻辑块CLB 3 1.1.3 输入输出模块(Input/Output Block) 6 1.1.4 块RAM(BlockRAM) 9 1.2 最新FPGA产品──Virtex-5 10 1.2.1 Virtex-5的特点 10 1.2.2 Virtex-
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:18874368
    • 提供者:jiemizhe000
  1. Xlinx ISE 9.X FPGA_CPLD设计指南

  2. Xilinx ISE 9.x FPGA/CPLD设计指南 目录 (这是本书的第77页到140页,只因只能上传小于20M的文件,其它的也已上传) 第1章 FPGA设计简介 1 1.1 FPGA结构简介 2 1.1.1 总体结构 2 1.1.2 可配置逻辑块CLB 3 1.1.3 输入输出模块(Input/Output Block) 6 1.1.4 块RAM(BlockRAM) 9 1.2 最新FPGA产品──Virtex-5 10 1.2.1 Virtex-5的特点 10 1.2.2 Virte
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:19922944
    • 提供者:jiemizhe000
  1. 赛灵思FPGA设计高级技巧篇--华为内部资料

  2. 任何事务都是一分为二的有利就有弊我们发现现在越来越多的工程师不关心自己的电路实现形式以为我只要将功能描述正确其它事情交给工具就行了在这种思想影响下工程师在用HDL语言描述电路时脑袋里没有任何电路概念或者非常模糊也不清楚自己写的代码综合出来之后是什么样子映射到芯片中又会是什么样子有没有充分利用到FPGA的一些特殊资源遇到问题立刻想到的是换速度更快容量更大的FPGA器件导致物料成本上升更为要命的是由于不了解器件结构更不了解与器件结构紧密相关的设计技巧过分依赖综合等工具工具不行自己也就束手无策导致问
  3. 所属分类:硬件开发

    • 发布日期:2010-07-16
    • 文件大小:2097152
    • 提供者:gzhengyu
  1. FPGA整洁代码之道3-信号命名和定义应该明确.pdf

  2. FPGA A 整洁代码之 道 3- 信号命名和定义应该 明确 在设计中,我们不断的给目录、源代码、文件、函数、变量、参数、类、封包进 行命名与定义。当一件工作需要进行的次数非常之多,足以证明它是不可或缺的 基本工作。我们一定要知道一点,基础工作是整个项目的基石。忽视抑或是轻视 基础工作是一件非常错误的工作理念。我们需要用最严谨认真的态度去对待,同 时作为回报,它将令你的作品显得专业而优雅。33 flag2<=1b1; 34 end else if(end cntbegin 36 flag2
  3. 所属分类:专业指导

    • 发布日期:2019-08-24
    • 文件大小:126976
    • 提供者:drjiachen
  1. 一种基于嵌入式平台的单兵战术训练考核系统

  2. 单兵战术动作是士兵在战斗中的基本动作。传统的单兵战术动作训练考核由人工实现计时及动作判定,存在主观因素强、检测准确率低、数据采集存储效率低等问题,并且尚无有效的解决方法。基于FPGA/MCU平台设计并实现了一种单兵战术训练考核系统,该系统采用多对主动式对射红外线光探测器,对训练考核过程中的违规触碰信号进行采集,并利用手持终端实现数据的无线传输。后台通过对数据进行分析给出指导性建议。测试表明,该系统可以较好地解决当前单兵战术训练考核中存在的问题。
  3. 所属分类:其它

    • 发布日期:2020-10-15
    • 文件大小:404480
    • 提供者:weixin_38520046
  1. EDA/PLD中的FPGA控制的智能化节能设备

  2. 摘要:目前,城市公共照明已在我国照明耗电中占有很大比重,所以如何在保证基本照明的前提下使耗电量降低成为一大研究难题。本文针对照明耗能设备的特点,采用了自动降压的方式设计和研制路灯节能系统,优化了节电运行的节能问题。本系统利用 FPGA芯片作为控制核心,结合 DDS技术直接形成 SPWM调制波来驱动 IGBT逆变器,通过改变正弦波的幅度来调整输出电压,实现节能效果。系统具有频谱良好,精确度高,体积小,易调整,智能化等优点。另外,在 QuartusⅡ, ModelSim的混合仿真环境下,使得设计完全
  3. 所属分类:其它

    • 发布日期:2020-11-11
    • 文件大小:260096
    • 提供者:weixin_38702726
  1. EDA/PLD中的FPGA配合预失真技术的解调误码测试仪

  2. 1 引言   工程实践中,我们往往需要对所设计的硬件电路进行设计检验以保证其正常运作,从而才能进一步支持基于该硬件的复杂程序的正确调试。这样,特定的相应测试系统设计就显得尤为重要,不仅可以保证硬件的健康度,更能提高整个调试过程的效率,方便检测出相关错误。针对增补转发系统( Gapfiller)中 QPSK解调程序调试的需求,分别对发射及接收电路设计了基于可编程逻辑器件(FPGA)的测试程序。为了保证其更强的纠错性和更可靠的验证能力,测试系统的设计原则应当是愈简易且愈典型为佳。目前国内对于 QP
  3. 所属分类:其它

    • 发布日期:2020-11-11
    • 文件大小:159744
    • 提供者:weixin_38659812
  1. RFID技术中的基于MCU+FPGA模式的RFID读写器设计

  2. 射频识别技术RFID是一种非接触的自动识别技术,其基本原理是利用射频信号和空间耦合(电感和电磁耦合)传输特性,实现对被识别物体的自动识别,射频识别系统一般由两部分组成,射频标签(Tag)和射频读写器(Reader)。在RFID应用中,电子标签附着在被识别物体上,当带有射频标签的被识别物品进入读写器的可识读范围内,读写器自动以无接触方式将射频标签中约定的信息读取出来,从而实现自动识别物品和收集物品标志信息的功能。   RFID技术在生产、零售、物流和交通等行业有着广阔的应用前景 。为解决后勤物资
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:186368
    • 提供者:weixin_38677234
  1. 嵌入式系统/ARM技术中的微处理器和JTAG总线桥接接口

  2. 数字逻辑设计人员在实现设计目标时有不少工具可用。为适应所需的大量逻辑数和数据率,设计人员可选用FPGA。FPGA在相对小的空间内,以多引脚数封装提供巨大数量的数字逻辑门。     在印刷电路板(PCB)放置多个多引脚FPGA和其他器件,确保所有互连的完整无损是比较困难的。在制造中用X射线技术可以检验大概的互连问题, 而需要更精确的方法来检测制造、调试和复杂PCB更换的互连问题。   一种方法是JTAG(IEEE1149.1)技术。JTAG(联合测试行动组)功能包括基本的输入/输出边界扫描控制
  3. 所属分类:其它

    • 发布日期:2020-11-19
    • 文件大小:101376
    • 提供者:weixin_38619613
  1. 显示/光电技术中的FPGA的光电抗干扰电路设计

  2. 红外密集度光电立靶测试系统是一种新型的用于测量低伸弹道武器射击密集度的测试系统,既测试无须进行任何特殊处理的金属弹丸,又可测试非金属弹丸,更有反映灵敏、精度高而稳定、操作简单、容易维护等优点,已被许多靶场投入使用。   光电靶的基本原理是:当光幕内的光通量发生足够大的变化时,光电传感器会响应这种变化而产生电信号。这就是说,一些非弹丸物体在穿过光幕时也会使得光幕内光通量发生变化以至光电传感器产生电信号。从原理上,这种现象并非异常,而对测试来讲则属于干扰。在具体靶场测试中,当干扰严重时会导致测试根
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:216064
    • 提供者:weixin_38714910
  1. 安防与监控中的视频监控系统中多画面处理器的设计

  2. 摘要:以黑白四画面处理为例,介绍了视频监控系统中基于FPGA器件和单片机的多画面处理器的设计方法,简述了FPGA器件XCS05XL的特点和工作原理,讨论了单片机μPD78F0034与I2C总线存储器X24C16的接口方法以及用μPD6453叠加字符的基本方法,同时概述了使用帧存储器AL422应注意的几个问题。     关键词:多画面处理器 单片机 现场可编程门阵列 XCS05XL芯片 I2C总线存储器 近年来,随着视频监控系统在各个领域的广泛应用,作为视频监近系统组成之一的多画面处理器的应
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:248832
    • 提供者:weixin_38742291
  1. EDA/PLD中的数字签名算法SHA-1的FPGA高速实现

  2. 摘 要:随着网络的迅速发展,信息安全越来越重要,信息认证是验证收到信息来源和内容的基本技术。常用的信息验证码是使用单向散列函数生成验证码,安全散列算法SHA-1使用在是因特网协议安全性(IPSec)标准中。在设计中使用FPGA高速实现SHA-1认证算法,以PCI卡形式处理认证服务。 关键词:数字签名算法;现场可编程门阵列(FPGA);计算机安全 引言 随着网络的迅速发展,对安全性的需要越发重要。然而,尽管网络技术进步很快,安全性问题仍然相对落后,并且在很多情况下只能靠虚拟私人网
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:80896
    • 提供者:weixin_38617851
  1. 单片机与DSP中的基于FPGA实现FIR滤波器的研究

  2. 摘要:针对在FPGA中实现FIR滤波器的关键--乘法运算的高效实现进行了研究,给了了将乘法化为查表的DA算法,并采用这一算法设计了FIR滤波器。通过FPGA仿零点验证,证明了这一方法是可行和高效的,其实现的滤波器的性能优于用DSP和传统方法实现FIR滤波器。最后介绍整数的CSD表示和还处于研究阶段的根据FPGA实现的要求改进的最优表示。 关键词:FPGA DA FIR滤波器 CSD数字滤波器是语音与图像处理、模式识别、雷达信号处理、频谱分析等应用中的一种基本的处理部件,它能满足波器对幅度和
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:90112
    • 提供者:weixin_38659159
  1. EDA/PLD中的一种新型的电子点菜系统

  2. 摘要:为克服传统点菜方式的弊端,提出一种新型的电子点菜系统。该系统使用VHDL语言设计,并用FPGA芯片实现。它功能齐全、使用方便,如在餐饮业得以推广,可大大提高服务质量,并节省许多人力物力。 关键词:电子菜单 VHDL FPGA 在目前的餐馆里,客人点菜时总要有服务员在旁边等候,不仅要记录客人所点的菜,还要回答客人提出的各种关于菜的口味等问题。当顾客比较多时,服务员就会应接不暇,不仅耽误了顾客的时间,还影响了顾客对饭店的印象,并且顾客对已点菜的数量尤其是价钱往往不能很及时地了解。如果采用电
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:100352
    • 提供者:weixin_38554781
  1. PCB技术中的基于FPGA的PCB测试机硬件电路设计

  2. 摘要:为了提高PCB 测试机的测试速度,简化电路板的设计,提高系统的可重构性和测试算法移植的方便性,提出了一种基于FPGA的PCB测试机的硬件控制系统设计方案。 设计中选用Altera公司的现场可编程门阵列(FPGA)EP1K50,利用EDA设计工具Synplify、Modelsim、QuartusⅡ以及Verilog硬件描述语言,完成了控制系统的硬件设计及调试,解决了由常规电路难以实现的问题。    关键词:PCB 测试;可重构FPGA ;PC104 总线;Verilog 引言        
  3. 所属分类:其它

    • 发布日期:2020-12-07
    • 文件大小:93184
    • 提供者:weixin_38531210
  1. EDA/PLD中的在FPGA中基于信元的FIFO设计方法

  2. 设计工程师通常在FPGA上实现FIFO(先进先出寄存器)的时候,都会使用由芯片提供商所提供的FIFO。但是,由于其通用性使得其针对性变差,某些情况下会变得不方便或者将增加硬件成本。此时,需要进行自行FIFO设计。本文提供了一种基于信元的FIFO设计方法以供设计者在适当的时候选用。这种方法也适合于不定长包的处理。   FIFO在数字通讯芯片领域中有两个主要的作用,缓冲数据和隔离时钟。对于FIFO的设计,最关键的问题是如何实现RAM的读写双方的信息交换。一般情况下,设计者都直接调
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:87040
    • 提供者:weixin_38720997
  1. 通信与网络中的FPGA实现安全可靠的蓝牙通信

  2. 蓝牙技术注定会成为一项通用的低成本无线技术,可适用于一系列范围广泛的数据通信应用。但仍有两个主要方面需要进一步的考虑,即有关蓝牙通信中的数据安全性和数据完整性的问题。这两个方面会限制蓝牙技术的适用范围。在设计无线产品时,通过采用可编程逻辑,可以使蓝牙技术同时满足数据安全性和完整性的要求。 蓝牙数据安全性     蓝牙标准定义了一系列安全机制,要求每个蓝牙设备都要实现密钥管理、认证以及加密等功能,从而为近距离无线通信提供基本的保护。此外,蓝牙技术所采用的跳频通信方式本身也是一个防止窃听的有效安
  3. 所属分类:其它

    • 发布日期:2020-12-05
    • 文件大小:108544
    • 提供者:weixin_38724247
  1. 通信与网络中的一种并行帧同步设计方案的提出设计与应用

  2. 摘要:针对设计某高速卫星数据通信帧同步系统中所遇到的问题,提出了一种新的并行帧同步设计方案,解决了同步字码组不能稳定提取、同步状态判断时间过短等问题,实现了高速卫星数据通信系统的帧同步并得到了验证。对于速度更高的数据通信系统,给出了一种多路并行帧同步的设计方法。      关键词:帧同步 汉明距 FPGA 同步[3]是通信系统的一个重要环节,通常包括载波同步、位同步和帧同步。帧同步系统的基本设计思想[1]是在系统发送端数据帧中适当的位置处插入同步字码组,在接收端设计一个大的数据缓冲区,能够
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:91136
    • 提供者:weixin_38538312
  1. 通信与网络中的DeVicoNet的无线节点适配器设计

  2. 摘要  将802.11b引入到DeviceNet从站适配器的开发。介绍DeviceNet和802.11b协议的基本内容和特点,给出无线节点适配器的设计思路;以变频器从站的适配器为例,从硬件、链路层和应用层三个方面介绍具体的实现方法,并概述该设计方法的特点。关键词 Blackfin531 DeviceNet 802.11b 物理层接口 事件驱动与消息响应 FPGA 引 言      DeviceNet是一种连接工业底层控制设备的开放式网络,自1994年提出以来,得到了广泛的应用。然而,组建控制
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:323584
    • 提供者:weixin_38692969
  1. FPGA牛人的经验分享

  2. 这里我谈谈我的一些经验和大家分享,希望能对IC设计的新手有一定的帮助,能使得他们能少走一些弯路!   在IC工业中有许多不同的领域,IC设计者的特征也会有些不同。在A领域的一个好的IC设计者也许会花很长时间去熟悉B领域的知识。在我们职业生涯的开始,我们应该问我们自己一些问题,我们想要成为怎样的IC设计者?消费?PC外围?通信?微处理器或DSP?等等?   IC设计的基本规则和流程是一样的,无论啥样的都会加到其中。HDL,FPGA和软件等是帮助我们理解芯片的工具。IC的灵魂是知识。因此我们遇到
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:96256
    • 提供者:weixin_38744694
« 1 23 4 5 6 »