您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 彩灯控制器毕业设计资料

  2. 彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用,也可用在舞台上增强晚会灯光效果。实现彩灯控的方案很多,不同的控制方案,其设计方法和思路也不一样。本文介绍一种一种基于SOPC的32路彩灯控制器,该彩灯控制器在vhdl 硬件描述语言设计其核心部件,再配以适当的外围电路构成,上电后,彩灯系统无需外加输入信号,能自动循环演示十六种花型,彩灯明暗变换节拍为 0.25 秒和 0.5 秒,快慢两种节拍自动交替运行。该系统较以前的传统设计具有硬件电路简单、体积小、功
  3. 所属分类:专业指导

    • 发布日期:2010-04-30
    • 文件大小:332800
    • 提供者:summer13987
  1. 多路彩灯控制器的设计与分析

  2. 设计一个十六路彩灯控制器,6种花型循环变化,有清零开关,并且可以选择快慢两种节拍。需要基于VHDL来实现功能。
  3. 所属分类:硬件开发

    • 发布日期:2010-12-21
    • 文件大小:532480
    • 提供者:q810890135
  1. VHDL 八路彩灯控制器

  2. 用FPGA设计的八路彩灯控制器,通过VHDL语言实现
  3. 所属分类:硬件开发

    • 发布日期:2012-09-11
    • 文件大小:202752
    • 提供者:dong_rm
  1. 多路彩灯控制器_数字电路课程设计实验报告

  2. 怎么开展彩灯实验?实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。基于本次实习要求设计的彩灯路数较少,且花型变换较为简单,使用EP3C80适配板硬件实验的要求,故采用VHDL语言实现硬件设计控制电路。
  3. 所属分类:专业指导

    • 发布日期:2015-06-11
    • 文件大小:6291456
    • 提供者:sqh1607681430
  1. 基于CPLD的多路彩灯控制器的设计

  2. 多路彩灯控制器采用VHDL语言编程,在QuartusⅡ4.0上仿真验证功能。通过下载到ALTERA DE2开发板上来实现具体的硬件设计。该设计是一个16路彩灯控制器,可自由选择3种跑马灯花型,具有清零开关,并且可以选择快慢两种节拍。
  3. 所属分类:其它

    • 发布日期:2020-07-04
    • 文件大小:258048
    • 提供者:weixin_38742453
  1. VHDL实现多路彩灯控制器

  2. 本文介绍应用美国ALTERA公司的MAX+Plus II平台,使用VHDL硬件描述语言实现的多路彩灯控制器。
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:55296
    • 提供者:weixin_38507121
  1. EDA/PLD中的基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:58368
    • 提供者:weixin_38656364
  1. 基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:57344
    • 提供者:weixin_38693311