您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL的CRC编解码器

  2. CRC编解码器,基于VHDL的设计。循环冗余校验(CRC)模块设计,仿真实现。16位理论。。。8位实验!
  3. 所属分类:嵌入式

    • 发布日期:2010-05-18
    • 文件大小:354304
    • 提供者:tyt2009
  1. VHDL实用教程(完整版)

  2. 本书比较系统地介绍了VHDL的基本语言现象和实用技术。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-19
    • 文件大小:3145728
    • 提供者:zerro00
  1. FSK调制解调VHDL 程序及仿真

  2. 基于FPGA的fsk 调制解调程序VHDL 大家参考
  3. 所属分类:嵌入式

    • 发布日期:2010-05-19
    • 文件大小:88064
    • 提供者:huzhou3344
  1. VHDL多媒体式教学资料(aldec公司)

  2. aldec公司的VHDL多媒体式教学资料
  3. 所属分类:专业指导

    • 发布日期:2010-05-20
    • 文件大小:4194304
    • 提供者:fjaai
  1. 电梯运行控制器设计 VHDL

  2. 电梯运行控制器设计,VHDL语言简单实用。
  3. 所属分类:专业指导

    • 发布日期:2010-05-22
    • 文件大小:49152
    • 提供者:offspring2010
  1. EDA多功能电子钟--基于VHDL

  2. EDA多功能电子钟--基于VHDLEDA多功能电子钟--基于VHDLEDA多功能电子钟--基于VHDLEDA多功能电子钟--基于VHDLEDA多功能电子钟--基于VHDL
  3. 所属分类:专业指导

    • 发布日期:2010-05-22
    • 文件大小:156672
    • 提供者:c000l
  1. 常用的VHDL语言集锦

  2. 本资料是基于VHDL硬件描述语言的设计实例,,包括数字钟,电子琴,电梯等常见的设计实例
  3. 所属分类:专业指导

    • 发布日期:2010-05-22
    • 文件大小:173056
    • 提供者:Kwon01
  1. VHDL程序与仿真(电子钟--数字系统设计必看)

  2. 这是一篇关于用VHDL语言设计一个电子钟表的方案,包含所有的源代码,可以给做数字系统设计的同学提供参考。
  3. 所属分类:嵌入式

    • 发布日期:2010-05-22
    • 文件大小:121856
    • 提供者:dongdajianxiong
  1. can 控制器 vhdl语言编写

  2. 从opencore下载的vhdl编写的can总线控制器,可以编译,可以仿真。
  3. 所属分类:嵌入式

    • 发布日期:2010-05-23
    • 文件大小:410624
    • 提供者:muoka
  1. 关于DDS发生器的vhdl程序(fsk,ask,psk)

  2. 产生波形可fsk,ask,psk,vhdl程序可以任意改变频率,相位,,,,等等
  3. 所属分类:其它

    • 发布日期:2010-05-23
    • 文件大小:6291456
    • 提供者:neddychen
  1. 很好的vhdl经验总结文档pdf

  2. 资源中介绍了常用的vhdl设计方法,还介绍了一些设计的技巧,如双向端口的设计注意事项、时钟和复位设计的注意事项等。文档来自网上人员的总结。。
  3. 所属分类:专业指导

    • 发布日期:2010-05-23
    • 文件大小:1048576
    • 提供者:delili
  1. 基于VHDL的计数器设计

  2. 用VHDL写的计数器的程序,已经测试可以运行。。希望对大家有好处
  3. 所属分类:其它

    • 发布日期:2010-05-23
    • 文件大小:435200
    • 提供者:liming881227
  1. 第5章VHDL状态机 EDA

  2. 第5章VHDL状态机 第5章VHDL状态机 第5章VHDL状态机第5章VHDL状态机第5章VHDL状态机第5章VHDL状态机第5章VHDL状态机
  3. 所属分类:专业指导

    • 发布日期:2010-05-23
    • 文件大小:808960
    • 提供者:abc519516
  1. 根据状态图写VHDL状态机 EDA实验报告 杭州电子科技大学

  2. 根据状态图写VHDL状态机 EDA实验报告 根据状态图写VHDL状态机 EDA实验报告 杭州电子科技大学
  3. 所属分类:专业指导

    • 发布日期:2010-05-23
    • 文件大小:142336
    • 提供者:abc519516
  1. EDA 技术实用教程 潘松 课后练习答案外加课后习题VHDL程序

  2. 资源分最低 第3版课件\EDA 潘松 课后练习答案外加课后习题VHDL程序 第3版课件\EDA 潘松 课后练习答案外加课后习题VHDL程序
  3. 所属分类:专业指导

    • 发布日期:2010-05-23
    • 文件大小:331776
    • 提供者:abc519516
  1. vhdl语言例程集锦.pdf

  2. vhdl语言例程集锦.pdf,各种VHDL的例子
  3. 所属分类:C/C++

    • 发布日期:2010-05-24
    • 文件大小:284672
    • 提供者:parn0827
  1. 电子时钟VHDL程序与仿真

  2. 源程序 VHDL 电子时钟 1. 10进制计数器设计与仿真 (1)10进制计数器VHDL程序 --文件名:counter10.vhd。 --功能:10进制计数器,有进位C --最后修改日期:2004.3.20 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter10 is Port ( clk : in st
  3. 所属分类:嵌入式

    • 发布日期:2010-05-24
    • 文件大小:117760
    • 提供者:fengfly2006
  1. 电子时钟 框图 VHDL FPGA

  2. 电子时钟 VHDL FPGA 电子时钟系统结构框图 电子时钟框图
  3. 所属分类:硬件开发

    • 发布日期:2010-05-24
    • 文件大小:31744
    • 提供者:fengfly2006
  1. 智力抢答器VHDL设计

  2. 这个设计主要是用VHDL语言编程,实现四路智力抢答器。 输入:总共有八个按键输入,其中开始键(start)、复位键(reset)、加分键(up)和减分键(dn)由主持人控制;另外还有四个选手的抢答按键。
  3. 所属分类:专业指导

    • 发布日期:2010-05-24
    • 文件大小:3145728
    • 提供者:hxt20081989
  1. VHDL的书写规范——华为的内部资料有关大规模设计的

  2. VHDL的书写规范,华为内部用书,有关EDA的好资料。希望大家分享
  3. 所属分类:专业指导

« 1 2 ... 40 41 42 43 44 4546 47 48 49 50 »