您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的MFSK的调制VHDL设计

  2. 基于FPGA的MFSK的调制VHDL设计一些资料。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-25
    • 文件大小:118784
    • 提供者:freedom_503
  1. 用vhdl编写的简单的cpu

  2. 应用vhdl语言,由时钟管理,取指模块,运算模块,存储管理,访存模块,会写模块组成。(各部分文件命名方式为拼音首字母)
  3. 所属分类:其它

    • 发布日期:2010-05-25
    • 文件大小:914432
    • 提供者:jipinwuya
  1. VHDL程序实例集.PDF

  2. VHDL程序实例集(包括了从入门到较为复杂的数字硬件电路设计)
  3. 所属分类:专业指导

    • 发布日期:2010-05-25
    • 文件大小:3145728
    • 提供者:hypseu
  1. 计数器VHDL语言一个计数器的程序 使用了VHDL语言 是数电实验设计中的必须用的一个程序

  2. 一个计数器的程序 使用了VHDL语言 是数电实验设计中的必须用的一个程序一个计数器的程序 使用了VHDL语言 是数电实验设计中的必须用的一个程序
  3. 所属分类:专业指导

    • 发布日期:2010-05-25
    • 文件大小:26624
    • 提供者:yangyangxjtu
  1. VHDL程序实例集锦

  2. 包含许多常用的VHDL程序模块,希望能够对广大VHDL学习者带来帮助
  3. 所属分类:专业指导

  1. FFT的VHDL源代码

  2. FFT作为频域分析的重要算法,过程复杂,很难理解,特上传VHDL源代码,欢迎研究FFT的朋友下载
  3. 所属分类:其它

  1. VHDL编写的移位器

  2. 多功能VHDL编写的移位器,可以自动左右移动
  3. 所属分类:其它

    • 发布日期:2010-05-26
    • 文件大小:1024
    • 提供者:wujiaweinihao
  1. VHDL实用电路模块设计

  2. VHDL实用电路模块设计--EDA技术与VHDL
  3. 所属分类:专业指导

    • 发布日期:2010-05-27
    • 文件大小:1048576
    • 提供者:fang858552
  1. URAT 的VHDL程序与仿真

  2. 文档详细地给出了URAT各个模块的VHDL程序,以及仿真图形.
  3. 所属分类:嵌入式

    • 发布日期:2010-05-27
    • 文件大小:88064
    • 提供者:liangshen168
  1. VHDL语言语法基础知识

  2. 描述了各种VHDL语言的各种功能模块,相信对出初学者来说很实用~~~~
  3. 所属分类:专业指导

    • 发布日期:2010-05-27
    • 文件大小:881664
    • 提供者:steveninxiaohu
  1. 浙江大学VHDL中文教程.ppt 课件

  2. 浙江大学VHDL中文教程.ppt 课件 学习VHDL的经典课件
  3. 所属分类:专业指导

    • 发布日期:2010-05-28
    • 文件大小:686080
    • 提供者:hsgyunzhongyue
  1. 数字系统与VHDL程序设计语言.ppt 课件

  2. 数字系统与VHDL程序设计语言 .ppt 课件 请识货者收藏和下载,很好资料哦
  3. 所属分类:专业指导

    • 发布日期:2010-05-28
    • 文件大小:6291456
    • 提供者:hsgyunzhongyue
  1. VHDL教程(HDL开发应用入门资料)

  2. VHDL教程(HDL开发应用入门资料),不错的资料。
  3. 所属分类:专业指导

    • 发布日期:2010-05-28
    • 文件大小:380928
    • 提供者:rainorshine
  1. VHDL training(HDL开发应用入门资料2)

  2. VHDL training(HDL开发应用入门资料2)。
  3. 所属分类:其它

    • 发布日期:2010-05-28
    • 文件大小:2097152
    • 提供者:rainorshine
  1. VHDL程序设计教程习题解答

  2. 1. 什么是VHDL?简述VHDL的发展史。 答: VHDL是美国国防部为电子项目设计承包商提供的,签定合同使用的,电子系统硬件描述语言。1983年成立VHDL语言开发组,1987年推广实施,1993年扩充改版。VHDL是IEEE标准语言,广泛用于数字集成电路逻辑设计。
  3. 所属分类:专业指导

    • 发布日期:2010-05-28
    • 文件大小:92160
    • 提供者:chenhaixin80
  1. VHDL实用教程及课件

  2. VHDL实用教程及课件 VHDL实用教程及课件
  3. 所属分类:专业指导

    • 发布日期:2010-05-29
    • 文件大小:3145728
    • 提供者:AnnaWhiteYellow
  1. 各种论文收集及杂料\基于VHDL的数字时钟设计

  2. 各种论文收集及杂料\基于VHDL的数字时钟设计
  3. 所属分类:专业指导

    • 发布日期:2010-05-29
    • 文件大小:84992
    • 提供者:zhangmangui
  1. VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程

  2. 第一讲、VHDL简介及其结构 第二讲、VHDL中的对象、操作符、数据类型 第三讲、VHDL中的控制语句及模块 第四讲、状态机的设计 VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程VHDL培训教程
  3. 所属分类:专业指导

    • 发布日期:2010-05-29
    • 文件大小:490496
    • 提供者:xuelanglingyu
  1. vhdl 交通灯程序

  2. 本程序为交通灯控制芯片的VHDL程序,在MAXPLUSII上编译通过,仿真时序正确。四川理工
  3. 所属分类:交通

    • 发布日期:2010-05-29
    • 文件大小:3072
    • 提供者:maimang1001
  1. VHDL实现在1602上时钟显示

  2. 实现时钟显示 用VHDL语言 频率2000
  3. 所属分类:C/C++

    • 发布日期:2010-05-30
    • 文件大小:4096
    • 提供者:dan469302814
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »