您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的Viterbi译码器设计与实现

  2. 基于FPGA的Viterbi译码器设计与实现的论文
  3. 所属分类:硬件开发

    • 发布日期:2009-05-06
    • 文件大小:7168
    • 提供者:kmao3538
  1. viterbi算法子程序

  2. viterbi关于卷积码的译码,很好的matlab程序,误码率很低
  3. 所属分类:其它

    • 发布日期:2009-05-08
    • 文件大小:2048
    • 提供者:px_10801153
  1. 前向纠错的多个算法C语言

  2. 前向纠错的多个算法C语言,包括viterbi、RS32、RS-2.0 fano1.1等
  3. 所属分类:C

    • 发布日期:2009-08-24
    • 文件大小:86016
    • 提供者:goldmusic
  1. 卷积编解码的Simulink仿真

  2. 卷积编解码的工作原理。用Simulink仿真卷积编码,并用Viterbi译码的方法进行解码,在加性白高斯噪声信道中,画出比特信噪比与误码率的关系曲线
  3. 所属分类:嵌入式

    • 发布日期:2009-11-07
    • 文件大小:95232
    • 提供者:wqinmin
  1. 卷积码Viterbi译码算法的FPGA实现

  2. 这是一篇中文期刊数据库中的文章,在网上找到了它的名字,在学校的期刊中找到了它,和大家共享一下
  3. 所属分类:其它

    • 发布日期:2009-11-27
    • 文件大小:139264
    • 提供者:hmrantily
  1. Viterbi译码DSP实现中度量值防溢出的方法研究

  2. 这是一篇中文期刊数据库中的文章,在网上找到了它的名字,在学校的期刊中找到了它,和大家共享一下
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:708608
    • 提供者:hmrantily
  1. 一种用于卫星通信的纠错编码仿真分析

  2. 格式为中国知网的标准文献阅读格式:用CAJView 软件就可以很方便的浏览了! 介绍了卷积码的描述方法,分析了卷积码的编码增益及Viterbi译码的误比特率的计算方法,最 后利用matlab仿真实现了卷积码的编码和Viterbi译码,分析了部分卷积码的差错率,可用于指导卫星 通信系统的设计。
  3. 所属分类:嵌入式

    • 发布日期:2010-05-13
    • 文件大小:292864
    • 提供者:vick9372
  1. 通信与网络中的Viterbi译码器STEL-2060C及其应用 (图)

  2. 摘 要:本文介绍了Intel公司STEL-2060C Viterbi译码器的特征,分析了该芯片在应用中的关键性问题并给出在高清晰度数字电视中的应用实例。关键词:高清晰度数字电视(HDTV);Viterbi译码;STEL-2060C 前言  提高信息传输的可靠性和有效性始终是通信工作所追求的目标,纠错码是提高信息传输可靠性的一种重要手段,而Viterbi译码器作为很有效的信道编码方式得到广泛应用,它与卷积编码共同完成前向纠错以改进在多噪及衰落信道下译码的准确性、增强数字通信系统的
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:97280
    • 提供者:weixin_38660058
  1. 大约束度Viterbi译码器中路径存储单元的设计

  2. 1 引言   Viterbi译码算法是一种最大似然译码算法,目前广泛应用于各种数据传输系统,特别是卫星通信和移动通信系统中。近年来随着FPGA技术的迅速发展,使得基于FPGA实现Viterbi译码的算法成为研究的热点。   由于Viterbi译码器的复杂性随约束长度k成指数增加,大约束度不但使Viterbi译码器硬件复杂度大为增加,同时也限制了译码速度。而其中以加比选(Add Compareselect,ACS)运算为最主要的瓶颈,的递归运算使流水线结构的应用变得困难。本文以(2,1,9)
  3. 所属分类:其它

    • 发布日期:2020-12-04
    • 文件大小:112640
    • 提供者:weixin_38700779
  1. 数据结构与算法:一图弄懂维特比viterbi算法

  2. 一、viterbi算法的用途 在自然语言的工程实践中,viterbi算法常常被用来寻找最可能的隐藏状态序列。如,序列标注任务就需要用到viterbi算法。 二、viterbi求最优路径 李航老师《统计机器学习》有如下例题: 用viterbi算法解决上述例题的推理过程如下: 三、viterbi算法的实现 #!/usr/bin/python3 # -*- coding:utf-8 -*- """ Author : heyw Time : 2020/1/30 16:22 Software:
  3. 所属分类:其它

    • 发布日期:2020-12-22
    • 文件大小:239616
    • 提供者:weixin_38723373
  1. 列表式的 Viterbi 译码算法 Matlab实现-附件资源

  2. 列表式的 Viterbi 译码算法 Matlab实现-附件资源
  3. 所属分类:互联网

  1. 列表式的 Viterbi 译码算法 Matlab实现-附件资源

  2. 列表式的 Viterbi 译码算法 Matlab实现-附件资源
  3. 所属分类:互联网

  1. 基于Gb / s并行块的Viterbi解码器,用于GPU上的卷积代码

  2. 在本文中,我们在图形处理单元(GPU).platform上提出了基于并行块的Viterbi解码器(PBVD),用于卷积码的解码。 简化了解码过程并使之并行化,并利用网格的特性来减少度量计算。 基于计算统一设备架构(CUDA),设计了两个并行度不同的内核来映射两个解码阶段。 此外,提出了几种中间信息的数据结构的优化设计,以提高内部存储器事务的效率。 实验结果表明,针对64位卷积代码,该解码器在NVIDIA GTX580上实现了598Mbps的高吞吐量,在GTX980上实现了1802Mbps的高吞吐
  3. 所属分类:其它

    • 发布日期:2021-02-25
    • 文件大小:730112
    • 提供者:weixin_38648800
  1. 基于Xilinx FPGA的高速Viterbi回溯译码器

  2. 摘 要:分析了新一代通信系统的发展对Viterbi译码器速率提出了更高的要求,通过优化Viterbi译码器结构,在XilinxVirtexIIPFGA上实现了速率30Mb/s以上的256状态Viterbi软译码。关键词:Viterbi;回溯译码;FPGA;双端口BlockRam  新一代移动通信系统目前主要采用多载波传输技术,基带传输速率较3G有很大提高,一般要求业务速率能达到30Mb/s以上。约束长度卷积码以及Viterbi译码器由于其性能和实现的优点,在新一代通信系统中仍然占有一席之地。这就
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:230400
    • 提供者:weixin_38599712
  1. matlab实现卷积编码与viterbi译码

  2. 1.仿真代码 clear all EbN0 = 0:2:10; %SNR范围 N = 1000000; %消息比特个数 M = 2; %BPSK调制 L = 7; %约束长度 tre1 = poly2trellis(L,[171 133]); %卷积码的生成多项式 tblen = 6*L; %Viterbi译码器回溯深度 msg = randi([0,1],1,N); %消息比特序列 msg1 = convenc(msg,tre1); %卷积编码 x1 = pskmod(msg1,M); %B
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:44032
    • 提供者:weixin_38637272
  1. 一种应用于LTE系统的Viterbi译码算法

  2. LTE(long term evolution,长期演进)系统中采用了咬尾卷积码和Turbo码来实现前向纠错,Viterbi译码是卷积码的一种杰出的译码算法,它是一种最大似然译码方法。本文基于LTE系统中的咬尾卷积码,详细分析了几种较成熟的Viterbi译码算法,并综合现有算法,提出了一种改进算法,减小了译码计算的复杂度。仿真结果表明,改进算法在降低译码计算复杂度的同时还降低了译码误比特率,因此非常适合LTE系统的译码要求。
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:1048576
    • 提供者:weixin_38702844
  1. 一种基于FPGA的Viterbi译码器优化算法

  2. 1 引 言   由于卷积码优良的性能,被广泛应用于深空通信、卫星通信和2G、3G移动通信中。卷积码有三种译码方法:门限译码、概率译码和Viterbi算法,其中Viterbi算法是一种基于网格图的似然译码算法,是卷积码的译码方式,具有效率高、速度快等优点。从工程应用角度看,对Viterbi译码器的性能*价指标主要有译码速度、处理时延和资源占用等。本文通过对Viterbi译码算法及卷积码编码网格图特点的分析,提出一种在FPGA设计中,采用全并行结构、判决信息比特与路径信息向量同步存储以及路径度量量
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:210944
    • 提供者:weixin_38526823
  1. 基于FPGA的Viterbi译码器设计

  2. 摘要:卷积码及其Viterbi译码是现代通信系统中常用的一种信道编码方法。文中介绍了Viterbi译码算法的原理,分析了Viterbi译码器的结构,然后用Verilog语言设计了一种基于Altera公司的EP3C120F780C8芯片的(2,l,7)Viterbi译码器,同时给出了时序仿真图。   0 引言   在现代通信系统中,要使信号能够更可靠地在信道中传输,往往需要我们在信道编码中采用纠错码来降低信号受噪声的影响,以降低传输的误码率。这种方法叫做差错控制编码或纠错编码,其思想是在发送端
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:231424
    • 提供者:weixin_38633576
  1. 基于FPGA的Viterbi译码器设计及实现

  2. 卷积码是广泛应用于卫星通信、无线通信等各种通信系统的信道编码方式。Viterbi算法是一种似然译码算法。在码的约束度较小时,它比其它概率译码算法效率更高、速度更快,译码器的硬件结构比较简单。随着可编程逻辑技术的不断发展,其高密度、低功耗、使用灵活、设计快速、成本低廉、现场可编程和反复可编程等特性,使FPGA逐步成为Viterbi译码器设计的方法。项目目的是用FPGA实现一个Viterbi译码器。   一、译码器功能分析   译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:260096
    • 提供者:weixin_38688855
  1. 卷积编码及Viterbi 解码的FPGA 实现及应用

  2. 摘要:卷积码在现代无线通信系统中应用十分广泛,Viterbi译码是常用的一种对卷积码的译码算法。介绍了卷积编码及Viterbi串行解码的原理及其FPGA的实现。在保证系统性能的前提下讨论了分帧式编解码在实际系统中的应用。   0 引言   在现代通信系统中,信道编码技术得到了广泛的应用。卷积码结构简单,硬件实现容易,同时有着较好的查错纠错能力,因此在无线通信中经常使用,而其解码方式常用Viterbi译码。   1 卷积编码   卷积码(Convolutional Coding)是由PgE
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:296960
    • 提供者:weixin_38706747
« 1 2 3 4 56 7 8 9 10 ... 16 »