您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 实现BCD码

  2. VHDL 实现BCD码,使用VHDL写的源程序
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:123904
    • 提供者:sunrier
  1. Verilog移位调整实现二进制转BCD码

  2. Verilog中用移位及调整的方法实现二进制转BCD码源码。
  3. 所属分类:其它

    • 发布日期:2009-06-16
    • 文件大小:454
    • 提供者:zylsdu
  1. 汇编语言作BCD数的四则运算

  2. 编写一程序,作BCD数的四则运算,在程序中设置非压缩BCD数X,从键盘输入一位十进制数Y。将X+Y、X-Y、X*Y及X/Y(不考虑余数)分别送ANS_A,ANS_S,ANS_M及ANS_D变量。上机调试程序;检查执行结果。
  3. 所属分类:硬件开发

    • 发布日期:2009-06-19
    • 文件大小:723
    • 提供者:wendydx
  1. DH11 程序(以SF板为例)[包含单字节二进制转换BCD码程序].rar

  2. DH11 程序(以SF板为例)[包含单字节二进制转换BCD码程序].raDH11 程序(以SF板为例)[包含单字节二进制转换BCD码程序].rarr
  3. 所属分类:专业指导

    • 发布日期:2009-08-08
    • 文件大小:1048576
    • 提供者:xueyuanyuan
  1. 二进制转换成BCD码

  2. 此代码是将二进制数转换成BCD码,提供32位转换,可自行扩展
  3. 所属分类:硬件开发

    • 发布日期:2009-08-18
    • 文件大小:14336
    • 提供者:jackielau0424
  1. 汇编语言BCD码加法

  2. 把两个非压缩BCD码组合成一个压缩BCD码
  3. 所属分类:硬件开发

    • 发布日期:2009-09-05
    • 文件大小:478
    • 提供者:llphi
  1. Verilog BCD码时钟+扫描电路

  2. Verilog BCD码时钟+扫描电路
  3. 所属分类:专业指导

    • 发布日期:2009-10-01
    • 文件大小:614400
    • 提供者:wzngy
  1. 数字设计 BCD TO BINARY VHDL

  2. 数字设计 BCD TO BINARY VHDL 自己测试 没问题
  3. 所属分类:专业指导

    • 发布日期:2009-11-09
    • 文件大小:59392
    • 提供者:lekko111
  1. 开放式CPU设计 实验程序 编码实验:BCD码的加法

  2. 开放式CPU设计 实验程序 编码实验:BCD码的加法 所有程序均编译测试通过 请放心下载
  3. 所属分类:其它

    • 发布日期:2009-12-02
    • 文件大小:125952
    • 提供者:w405924507
  1. 二进制到BCD码转换

  2. ----------------------------------------------------------------------------------- // DEscr iptION : Bin to Bcd converter // Input (data_in) width : 4 // Output (data_out) width : 8 // Enable (EN) active : high // // Download from : http://www.pld.
  3. 所属分类:Java

    • 发布日期:2009-12-07
    • 文件大小:1024
    • 提供者:wenting_wei
  1. 4位BCD码加法器的设计

  2. ALU的设计与仿真—4位BCD码加法器的设计 本次的设计内容是ALU的设计与仿真—4位BCD码加法器的设计。
  3. 所属分类:嵌入式

    • 发布日期:2009-12-17
    • 文件大小:474112
    • 提供者:wc3918
  1. bcd码转换有助于课程设计

  2. 对课程设计有帮助bcd 转换 课设 单片机 c51 bcd码转换有助于课程设计
  3. 所属分类:硬件开发

    • 发布日期:2009-12-25
    • 文件大小:20480
    • 提供者:icykite
  1. vhdl语言的bcd计数器

  2. VHDL语言描述的bcd计数器,基于MUX+PLUSII平台
  3. 所属分类:其它

    • 发布日期:2010-01-01
    • 文件大小:80896
    • 提供者:ly7785779
  1. 用VHDL编写的一位BCD码的加减法

  2. 用VHDL语言编写的关于一位BCD码的加减法的程序
  3. 所属分类:其它

    • 发布日期:2010-01-11
    • 文件大小:24576
    • 提供者:LXLFYYDH
  1. 二进制调整为BCD码的方法

  2. 二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法二进制调整为BCD码的方法
  3. 所属分类:其它

    • 发布日期:2010-01-15
    • 文件大小:6144
    • 提供者:lymanlee
  1. BCD计数器的设计 VHDL代码

  2. BCD计数器的设计 VHDL代码 简单,包含源代码及原理图
  3. 所属分类:其它

    • 发布日期:2010-03-13
    • 文件大小:70656
    • 提供者:ouyangmark
  1. 汇编程序 求两个二十位BCD整数之和

  2. 定义两个二十位的BCD码整数,编程实现两数之和
  3. 所属分类:硬件开发

    • 发布日期:2010-04-11
    • 文件大小:1024
    • 提供者:rongchunxia
  1. 微机原理与接口技术/汇编实验 两个压缩的BCD码相减并调整结果为压缩的BCD码实验报告

  2. 学校的实验报告,在DOS下输入汇编程序,两个压缩的BCD码相减并调整结果为压缩的BCD码,有详细步骤 word格式
  3. 所属分类:硬件开发

    • 发布日期:2010-04-13
    • 文件大小:104448
    • 提供者:huanhuan0811
  1. 16位二进制转BCD

  2. 这个文件是用Verilog语言实现16位的二进制码转换为BCD码的实例
  3. 所属分类:专业指导

    • 发布日期:2010-04-15
    • 文件大小:2048
    • 提供者:li4913867
  1. 压缩式BCD码分解成为单字节BCD码

  2. 该BCD码原来的个位和十位假设是在40H中,最后十位存在42H,个位在41H
  3. 所属分类:专业指导

    • 发布日期:2010-04-18
    • 文件大小:20480
    • 提供者:liuminglan665
« 12 3 4 5 6 7 8 9 10 ... 50 »