您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多时钟域异步FIFO 设计

  2. 异步FIFO,多时钟域,多位宽。FPGA 设计。
  3. 所属分类:其它

    • 发布日期:2011-05-17
    • 文件大小:249856
    • 提供者:zhouseph
  1. 分页算法中的FIFO

  2. JAVA编写的FIFO先进先出算法可以算出缺页率
  3. 所属分类:其它

    • 发布日期:2011-05-20
    • 文件大小:53248
    • 提供者:xiaoyarehuhu
  1. 用 verilog语言设计UART 带FIFO 32位

  2. 用 verilog语言设计UART 带FIFO 32位 可以参考下
  3. 所属分类:嵌入式

    • 发布日期:2011-05-23
    • 文件大小:4096
    • 提供者:wenhuj102
  1. 异步FIFO的控制器设计

  2. FIFO 用VHDL语言编写的控制器程序 1.1.1顶层模块fifo源代码:fifo.v 1.1.2双口RAM存储器模块fifomem源代码:fifomem.v
  3. 所属分类:硬件开发

    • 发布日期:2011-05-25
    • 文件大小:44032
    • 提供者:hewenwenpp
  1. FPGA学习小结(FIFO)-陈建军.pdf

  2. FPGA学习小结(FIFO),写的较为详细,可以作为fifo的学习资料
  3. 所属分类:嵌入式

    • 发布日期:2011-05-25
    • 文件大小:100352
    • 提供者:xiaoxue6227
  1. 页面替换算法FIFO+最优+clock+LRU+随机

  2. 包含FIFO,最优替换算法,随机替换算法,clock替换算法,LRU替换算法的c++项目
  3. 所属分类:桌面系统

    • 发布日期:2011-06-03
    • 文件大小:3145728
    • 提供者:ranrangks
  1. FPGA中同步FIFO的使用小结

  2. FPGA中的FIFO,分为同步FIFO,异步FIFO和双向FIFO。同步FIFO一般用于数据的缓存,异步FIFO一般用于跨时钟域的同步上。这里主要讲述用于并行图像处理的同步FIFO的使用。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-04
    • 文件大小:244736
    • 提供者:jietouxiaohu
  1. 内存FIFO页面置换算法 C++

  2. 用一种计算机高级语言来实现请求分页存储管理方式先进先出(FIFO)置换算法,设计要求如下: ⑴ 能够输入给作业分配的内存块数; ⑵ 能够输入给定的页面,并计算发生缺页的次数以及缺页率; ⑶ 缺页时,如果发生页面置换,输出淘汰的页号。
  3. 所属分类:C/C++

    • 发布日期:2011-06-13
    • 文件大小:3072
    • 提供者:z7001050c
  1. 基于SDRAM建立FIFO

  2. 基于SDRAM芯片设计一个缓存器,使数据高速写入FIFO中,低速读出
  3. 所属分类:硬件开发

    • 发布日期:2011-06-18
    • 文件大小:27648
    • 提供者:majingdawei
  1. 磁盘调度算法C++ 模拟FIFO,OPI和LRU页面置换算法的工作过程

  2. 模拟先进先出FIFO,最佳置换OPI和最近最久未使用LRU页面置换算法的工作过程 报告册和源程序
  3. 所属分类:OS

    • 发布日期:2011-06-19
    • 文件大小:58368
    • 提供者:suntnt2009
  1. DDR/DDR2接口的FIFO设计

  2. 介绍了DDR SDRAM的接口时序,分析了其在系统中的位、功能和作用,在此基础上提出了设计方案规划。之后着重叙述了基于Stratix.II GX系列FPGA的DDR2接口的FIFO工程设计,对于主控核心单元、数据输入单元和数据缓存单元进行了单独的模块化分析,并且对主要模块进行了功能仿真,归纳问题。
  3. 所属分类:其它

    • 发布日期:2011-06-21
    • 文件大小:6291456
    • 提供者:sophy688
  1. 基于DDR2 SDRAM的高速大容量异步FIFO的设计与实现

  2. 为了解决高速实时系统中海量数据的缓存问题,提出了一种基于DDR2 SDRAM的高速大容量异步FIFO的设计方案。
  3. 所属分类:其它

    • 发布日期:2011-06-21
    • 文件大小:366592
    • 提供者:sophy688
  1. 先进先出队列 FIFO

  2. 修复版 一个循环线性地址先进先出队列(FIFO),非常适合用做通讯、任务等,具有如下特点: 1.循环队列,空间利用率非常高,不用动态分配内存,不使用链表,无内存泄漏,无内存碎片; 2.线性地址空间,直接使用memcpy进出,速度非常快; 使用说明: 1.fifo_init 2.fifo_into 2.fifo_get 3.fifo_remove 4.fifo_uninit 如发现问题联系本人: lxj_com@163.com QQ:67016879
  3. 所属分类:Linux

    • 发布日期:2011-06-24
    • 文件大小:3072
    • 提供者:lxj_com2006
  1. Verilog HDL描述的单体8通道FIFO

  2. 设计一个基于Actel FPGA的8通道FIFO,采用先进的处理机制,耗费资源少,功能完整,性能良好。采用Verilog HDL语言描述,采用Block RAM设计完成。设计中采用的单体存储器方式。
  3. 所属分类:硬件开发

    • 发布日期:2011-06-26
    • 文件大小:899072
    • 提供者:yanganjiang
  1. 异步电路fifo的研究与设计

  2. 充分演示异步电路FIFO的工作流程。 细述了异步电路FIFO的工作形式,以及仿真。
  3. 所属分类:其它

    • 发布日期:2011-07-07
    • 文件大小:2097152
    • 提供者:qianqunzhu
  1. 基于vhdl的fifo相关资料

  2. 基于vhdl的fifo资料,有程序,有fifo的使用pdf及一些简单应用设计
  3. 所属分类:嵌入式

    • 发布日期:2011-07-12
    • 文件大小:2097152
    • 提供者:liuying1987911
  1. Avalon-ST single clock and dual clock fifo cores

  2. Avalon-ST single clock and dual clock fifo cores
  3. 所属分类:硬件开发

    • 发布日期:2011-07-13
    • 文件大小:197632
    • 提供者:ywy1217
  1. 用FIFO实现A_D与ARM处理器的接口

  2. 用FIFO实现A_D与ARM处理器的接口,用FIFO实现A_D与ARM处理器的接口用FIFO实现A_D与ARM处理器的接口,用FIFO实现A_D与ARM处理器的接口
  3. 所属分类:硬件开发

    • 发布日期:2011-07-14
    • 文件大小:188416
    • 提供者:somedody
  1. 异步fifo设计verilog源代码

  2. 这是一个异步FIFO的完全代码实现的modelsim工程,并附有参考论文。 仅作为参考,当然其中也有很多不足,希望批评指教,相互学习。
  3. 所属分类:制造

    • 发布日期:2011-07-15
    • 文件大小:4194304
    • 提供者:sunlei017
  1. FIFO USED Guide

  2. FIFO USED Guide FIFO USED Guide FIFO USED Guide FIFO USED Guide
  3. 所属分类:硬件开发

    • 发布日期:2011-07-16
    • 文件大小:477184
    • 提供者:noliner
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »