您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 2006年9月17日姚老师《基于FPGA的数字视频图像处理系统设计方法》PDF课件

  2. 2006年9月17日姚老师《基于FPGA的数字视频图像处理系统设计方法》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:1005568
    • 提供者:guo1988xu
  1. 2007年4月21日姚老师《FPGA在视频图像处理领域的应用》PDF课件

  2. 2007年4月21日姚老师《FPGA在视频图像处理领域的应用》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:1048576
    • 提供者:guo1988xu
  1. 2007年7月14日姚老师《FPGA硬件最小系统设计》PDF课件

  2. 2007年7月14日姚老师《FPGA硬件最小系统设计》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:673792
    • 提供者:guo1988xu
  1. 2007年8月25日陆老师《FPGA系统设计的主要思路和方法初探》PDF课件

  2. 2007年8月25日陆老师《FPGA系统设计的主要思路和方法初探》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:784384
    • 提供者:guo1988xu
  1. 2008年5月24日潘老师《Xilinx FPGA开发要点介绍》PDF课件

  2. 2008年5月24日潘老师《Xilinx FPGA开发要点介绍》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:614400
    • 提供者:guo1988xu
  1. 2008年5月24日姚老师《Altera FPGA的选型及开发》PDF课件

  2. 2008年5月24日姚老师《Altera FPGA的选型及开发》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:2097152
    • 提供者:guo1988xu
  1. 2008年5月24日姚老师《FPGA在视频处理领域的应用》PDF课件

  2. 2008年5月24日姚老师《FPGA在视频处理领域的应用》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:1048576
    • 提供者:guo1988xu
  1. 2008年11月01日陆阳老师《FPGA设计的良好设计方法及误区》PDF课件

  2. 2008年11月01日陆阳老师《FPGA设计的良好设计方法及误区》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:670720
    • 提供者:guo1988xu
  1. 2008年11月29日姚远老师《FPGA的高端应用案例》PDF课件

  2. 2008年11月29日姚远老师《FPGA的高端应用案例》PDF课件
  3. 所属分类:硬件开发

    • 发布日期:2010-12-11
    • 文件大小:683008
    • 提供者:guo1988xu
  1. (电子科大)FPGA课件

  2. 本课件是成电研究生课程FPGA数字系统设计的课件,讲述了VERILOG硬件描述语言,还有数字系统设计FPGA开发的专业知识。
  3. 所属分类:嵌入式

    • 发布日期:2011-04-07
    • 文件大小:9437184
    • 提供者:heda9haolou
  1. FPGA高级班设计课件,使用说明请参看右侧注释====〉〉

  2. FPGA高级班设计课件,使用说明请参看右侧注释====〉〉
  3. 所属分类:嵌入式

    • 发布日期:2011-05-02
    • 文件大小:1048576
    • 提供者:supzct
  1. 西电2010FPGA课件

  2. 西电2010年FPGA课件,另附习题作业
  3. 所属分类:嵌入式

    • 发布日期:2011-05-04
    • 文件大小:2097152
    • 提供者:luyaoluya
  1. CPLD_FPGA课件

  2. 这是西电老师上课用的课件,讲的还是不错的,内容也比较清楚,可以小小的参考一下。。。。。
  3. 所属分类:硬件开发

    • 发布日期:2011-05-29
    • 文件大小:8388608
    • 提供者:tonnyjiang
  1. fpga课件科技

  2. `timescale 1ns/1ns module counter_tb; reg [7:0] data; reg load; reg clk; reg reset; wire [7:0] out; counter U_counter( .out(out), .data(data), .load(load), .reset(reset), .clk(clk) ); initial begin data =7'b0; load =1'b0; clk = 1'b0; reset = 1'b1; #
  3. 所属分类:Javascript

    • 发布日期:2012-10-23
    • 文件大小:20971520
    • 提供者:pxxiu
  1. 夏宇闻verilog PPT课件

  2. 夏宇闻verilog PPT课件,适合fpga的初学者参考借鉴
  3. 所属分类:硬件开发

    • 发布日期:2012-10-29
    • 文件大小:414720
    • 提供者:yuheqing
  1. FPGA课件.zip

  2. FPGA课件.zip
  3. 所属分类:MacOS

    • 发布日期:2012-11-19
    • 文件大小:13631488
    • 提供者:dongfangshen44
  1. fpga教学课件~~~

  2. 对初学者何有帮助的课件支持支持!!!!!!!!!!
  3. 所属分类:硬件开发

    • 发布日期:2008-09-12
    • 文件大小:371712
    • 提供者:daviex
  1. 夏宇闻老师优秀的verilog教程课件.rar

  2. 夏宇闻老师优秀的verilog教程课件。 我也刚学fpga不久,听说夏宇闻老师的课件不错,就去下载了,自己看了一下,感觉还不错,就推荐给大家了。
  3. 所属分类:嵌入式

    • 发布日期:2013-08-06
    • 文件大小:1048576
    • 提供者:zheyitian005
  1. CPLD-FPGA课程文件

  2. 西安电子科技大学CPLD—FPGA实验基础课程课件,包括PLD介绍、verilogPHD硬件编程语言,附部分实验代码
  3. 所属分类:专业指导

    • 发布日期:2013-09-24
    • 文件大小:7340032
    • 提供者:xiaowangzidemao
  1. FPGA学习课件

  2. 很好的学习FPGA的资料,比较详细,方便初学者入门。
  3. 所属分类:嵌入式

    • 发布日期:2014-10-01
    • 文件大小:23068672
    • 提供者:lwb1992
« 1 23 4 5 6 7 8 9 »