您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序)3 4

  2. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序)
  3. 所属分类:3G/移动开发

    • 发布日期:2011-07-05
    • 文件大小:2097152
    • 提供者:wxm002
  1. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序)5 6

  2. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序)
  3. 所属分类:3G/移动开发

    • 发布日期:2011-07-05
    • 文件大小:2097152
    • 提供者:wxm002
  1. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序)7

  2. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序)
  3. 所属分类:3G/移动开发

    • 发布日期:2011-07-05
    • 文件大小:871424
    • 提供者:wxm002
  1. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序) 8 (程序部分)

  2. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序)
  3. 所属分类:3G/移动开发

    • 发布日期:2011-07-05
    • 文件大小:1048576
    • 提供者:wxm002
  1. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序) 8

  2. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序) Xilinx AlteraFPGA最小系统板设计 多功能波形发生器的系统设计 8.2 FPGA对LED显示器的.ppt 8.3 FPGA对LCD显示器的.ppt 8.5 TLC5510接口电路及程序设计.ppt 8.19自动升降电梯控制器设计.ppt 8.16 采用测频原理的数字频率计.ppt 8.15数字基带信号传输码型发生器设计.ppt 8.11二进制相位键控(PSK)调制器与解调器设计.ppt 8.24 步进电机
  3. 所属分类:3G/移动开发

    • 发布日期:2011-07-05
    • 文件大小:2097152
    • 提供者:wxm002
  1. Xilinx FPGA 最新培训讲义

  2. Xilinx FPGA 最新培训讲义,分不同的部分,英文讲义
  3. 所属分类:嵌入式

    • 发布日期:2011-10-02
    • 文件大小:5242880
    • 提供者:lovefengqiang
  1. verilong北大课件

  2. 北大verilog hdl 课件 、 很难找的课件 、
  3. 所属分类:嵌入式

    • 发布日期:2011-10-20
    • 文件大小:1048576
    • 提供者:hyq514991
  1. FPGA设计及应用

  2. 西安电子科技大学的经典课程,FPGA设计及应用,全套课件。欢迎下载。
  3. 所属分类:嵌入式

    • 发布日期:2011-11-02
    • 文件大小:37748736
    • 提供者:zhuwanyu1990
  1. 复旦FPGA课件

  2. 复旦上课课件哦,是针对微电子本科生开设的FPGA课程
  3. 所属分类:其它

    • 发布日期:2012-04-23
    • 文件大小:6291456
    • 提供者:just_sooo
  1. 特权同学 课件1-6

  2. 特权同学 课件1-6 适合初学者,其中包括流水灯、分频、数码管、VGA等控制模块
  3. 所属分类:硬件开发

    • 发布日期:2012-10-04
    • 文件大小:68608
    • 提供者:iamzhulala
  1. FPGA每章节课件

  2. 该课件使用ppt技术做的,适合老师用于讲解。
  3. 所属分类:专业指导

    • 发布日期:2014-02-27
    • 文件大小:3145728
    • 提供者:u013800276
  1. FPGA应用培训课件

  2. FPGA应用技术培训课件,,状态机的设计,FPGA应用实例
  3. 所属分类:硬件开发

    • 发布日期:2009-03-05
    • 文件大小:470016
    • 提供者:hhlzwl
  1. FPGA,EDA课件

  2. 研究生教学用书。FPGA相关,关于可编程ASIC的课件设计流程2
  3. 所属分类:硬件开发

    • 发布日期:2009-03-24
    • 文件大小:435200
    • 提供者:rtuvles
  1. 台湾大学的FPGA教程

  2. 台湾大学的FPGA课件,很难得的学习资料哦
  3. 所属分类:硬件开发

    • 发布日期:2009-04-11
    • 文件大小:2097152
    • 提供者:lyx403
  1. FPGA课件_概论

  2. 关于FPGA学习的讲义资料,制作人——上海理工大学陈克坚教授
  3. 所属分类:讲义

    • 发布日期:2018-05-14
    • 文件大小:3145728
    • 提供者:weixin_42203056
  1. FPGA教程 Verilog课件

  2. FPGA教程 Verilog课件 FPGA教程 Verilog课件 FPGA教程 Verilog课件
  3. 所属分类:硬件开发

    • 发布日期:2018-05-28
    • 文件大小:174080
    • 提供者:qq_33106681
  1. 状态机经典课件

  2. 状态机经典课件,里面内容详实,适合广大FPGA开发人员学习
  3. 所属分类:嵌入式

    • 发布日期:2018-08-30
    • 文件大小:1048576
    • 提供者:chq_yanxue
  1. eda技术实用教程3版课件

  2. 本资源是将EDA技术实用教程第三版的书本进行有效的提取和补充后组成的课件,课件简洁明了,清晰易懂,适用于有意学习EDA技术的初学者。
  3. 所属分类:专业指导

    • 发布日期:2018-02-28
    • 文件大小:89128960
    • 提供者:baidu_41638327
  1. FPGA 欧洲教授教程,留学时下载的老师课件,感觉讲的很好

  2. FPGA 欧洲教授教程,留学时下载的老师课件,感觉讲的很好。
  3. 所属分类:嵌入式

    • 发布日期:2018-07-21
    • 文件大小:4194304
    • 提供者:qq_36501798
  1. FPGA基础性课件

  2. 此课件是学好FPGA的基础性课件,能帮助人打下良好的FPGA基础
  3. 所属分类:嵌入式

    • 发布日期:2018-11-06
    • 文件大小:4194304
    • 提供者:qq_43618631
« 1 2 3 45 6 7 8 9 »