您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. JSP电子商务系统实例开发项目

  2. 软件安装 1、 安装4.0.5-beta (1) 安装数据库软件: 操作方法:根据提示安装,一般的步骤点击下一步即可,安装目录为C:; (2) 安装数据: 操作方法:拷贝文件business\database下的business目录到c:\mysql\data下,重启MySQL服务; 2、 安装JDK1.4.0 (1) 安装软件: 操作方法:一路点击下一步直至安装结束; (2) 设置环境变量: 操作方法:在我的电脑属性中设置环境变量为:JAVA_HOME=C:\j2sdk1.4.0; 3、 安
  3. 所属分类:Java

    • 发布日期:2009-06-04
    • 文件大小:1mb
    • 提供者:wenwangily
  1. VC学习大纲 VC学习讲义

  2. 第一课: Windows程序运行原理及程序编写流程,窗口产生过程,句柄原理,消息队列,回调函数,窗口关闭与应用程序退出的工作关系,使用VC++的若干小技巧,stdcall与cdecl调用规范的比较,初学者常犯错误及注意事项。我们通常不必要知晓每一个函数和消息。但另一方面,也不得不记住其中的一部分,否则很难将VC++运用自如。到底该记住哪些,这在我们的课程中都会涉及到。 第二课: C++经典语法与应用,类的编写与应用,构造与析构函数,函数的重载,类的继承,函数覆盖,基类与派生类的构造函数、析构函
  3. 所属分类:C++

    • 发布日期:2009-06-09
    • 文件大小:436kb
    • 提供者:yatere
  1. 一个偷链爱词霸词库的小软件

  2. 现在的翻译软件提供的批量翻译功能都是很有限的。好多单词都翻译不出来。我这个软件直接连到网站数据库,百万单词的海量词库任你玩弄。心动不如行动,不要吝啬一点分了。使用前确保c:\\port\\data.xls 存在。不过你自己可以改。源程序给你就是让你自己玩的。
  3. 所属分类:C

    • 发布日期:2009-06-13
    • 文件大小:651kb
    • 提供者:eifujbv
  1. 基于SIP开发软件电话的一些资源(转自YOUTOO)

  2. 摘自:http://mbstudio.spaces.live.com/blog/cns!C898C3C40396DC11!955.entry 2007/1/30 oSIP协议栈(及eXoSIP,Ortp等)使用入门(原创更新中) (CopyLeft by Meineson | www.mbstudio.cn,原创文章,欢迎转载,但请保留出处说明!) 本文档最新版本及文中提到的相关源码及VC6工程文件请在本站找,嘿嘿~~ (首页的SkyDriver公开文件夹中,可能需要用代理才能正常访问该空 间
  3. 所属分类:C++

    • 发布日期:2009-07-27
    • 文件大小:3mb
    • 提供者:fox38
  1. 嵌入式系统词汇表 必备 学习更简单明了

  2. ASIC(专用集成电路) Application-Specific Integrated Circuit. A piece of custom-designed hardware in a chip. 专用集成电路。一个在一个芯片上定制设计的硬件。 address bus (地址总线) A set of electrical lines connected to the processor and all of the peripherals withwhich itcommunicates.
  3. 所属分类:硬件开发

    • 发布日期:2009-10-15
    • 文件大小:161kb
    • 提供者:junliuyao
  1. 电子时钟VHDL程序与仿真

  2. 源程序 VHDL 电子时钟 1. 10进制计数器设计与仿真 (1)10进制计数器VHDL程序 --文件名:counter10.vhd。 --功能:10进制计数器,有进位C --最后修改日期:2004.3.20 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter10 is Port ( clk : in st
  3. 所属分类:嵌入式

    • 发布日期:2010-05-24
    • 文件大小:115kb
    • 提供者:fengfly2006
  1. Unable to determine default Pin/Port configuration!

  2. Unable to determine default Pin/Port configuration! CCS 3.3烦人的提示,最终也没找到原因,太烦了,所以用APIHOOK,把它搞掉了 附带源程序 有需要的联系gongxd $ 126.com,
  3. 所属分类:Java

    • 发布日期:2010-06-08
    • 文件大小:4mb
    • 提供者:vbvcde
  1. 端口扫描PortReady1.6

  2. ┌────────────────────────────────────────────┐ │ Dotpot PortReady 版本1.6 自述文件 │ ├────────────────────────────────────────────┤ │ * 请用记事本在窗口最大化条件下阅读此文件│ │ │ │ 一、软件简介 │ │ │ │ Dotpot Port Ready 是一个多线程的端口扫描工具,用于进行狂速端口扫描。它具有极快的速度│ │和方便友好的操作界面,能快速地对大网段范围进行
  3. 所属分类:C++

    • 发布日期:2010-07-01
    • 文件大小:22kb
    • 提供者:hudongjie
  1. c编写的RIP协议源程序

  2. 用C写的rip协议 这是其中的广播request程序片段: void RouteInit() { int i,optval=0,length,error; routeNum = 0; // init local socket address and ip address GetLocalIP(); // init route table items for(i = 0; i < MAX_NUM; i++) { SetRouteEntry(&routeTable[i].routeInfo,
  3. 所属分类:Web开发

    • 发布日期:2010-07-02
    • 文件大小:15kb
    • 提供者:sean_jj
  1. Free Pascal Mips机上的Pascal编译器源程序和执行文件

  2. his project is dedicated to the port of the Free Pascal Compiler to MIPS architecture. Download Now! fpc_mips_all_090a.zip (2.0 MB) OR View all files http://fpc-mips.sourceforge.net This is an attempt to port FPC to MIPS architecture. The source cod
  3. 所属分类:Linux

    • 发布日期:2010-09-21
    • 文件大小:2mb
    • 提供者:chla
  1. C++开发技术源程序

  2. 工程文件源程序 VC++\mingrisoft\dxyy\25\01\SeriesPort.rar
  3. 所属分类:C++

    • 发布日期:2010-11-26
    • 文件大小:29kb
    • 提供者:melissaMJ
  1. FTP客户端程序--VC

  2. 自己写的一个网络课程设计-FTP客户端程序,实现本地文件浏览和服务器端文件浏览、上传和下载、用户FTP和匿名FTP登陆、ASCII和Binary传输模式、Port和Passive连接模式,包括源程序和设计报告,有详细代码解释
  3. 所属分类:FTP

    • 发布日期:2011-01-22
    • 文件大小:801kb
    • 提供者:qp811
  1. 计算机实验 Socket编程 FTP客户端源程序

  2. FTP核心功能是否采用自编类 能够指定目标机器IP和端口 能够指定认证用的用户名/口令 支持匿名用户登录 能够在PASV模式下工作 能够成功连接服务器,列出主目录 能够变换目录,并指定源/目标目录 能够下载文件到本地,并能顺利打开 能够显示通信过程中的所有交互信息 能够主动断开连接 能够在PORT模式下工作 能够把本地文件上传到服务器 支持多线程下载 采用图形界面,界面美观
  3. 所属分类:C/C++

    • 发布日期:2011-05-22
    • 文件大小:1mb
    • 提供者:ryan122
  1. uart接收_VHDL程序.rar

  2. entity OPB_UARTLITE_RX is port ( Clk : in std_logic; Reset : in std_logic; EN_16x_Baud : in std_logic; Use_Parity : in std_logic; Odd_Parity : in std_logic; RX : in std_logic; Read_RX_FIFO : in std_logic; Reset_RX_FIFO : in std_logic; FIFO_Triger :
  3. 所属分类:硬件开发

    • 发布日期:2011-07-14
    • 文件大小:7kb
    • 提供者:bank_29
  1. ATMEGA开发下载软件

  2. 索奥科技中心倾情奉献 1 第2讲 AVR单片机软件开发环境 一、实验目的 1.熟悉CodeVision AVR集成开发环境 2.掌握单片机C语言开发 二、实验内容 1.在CodeVision AVR软件开发平台下创建一个工程并编译下载。 三、实验所用仪表及设备 硬件:PC机一台、AVR_SA_11实验板 软件:CodeVision AVR集成开发软件、progisp下载软件 四、实验步骤 使用CodeVision AVR软件的CodeWizardAVR功能,创建一个简单的工程,编译并下载。 新
  3. 所属分类:硬件开发

    • 发布日期:2012-07-04
    • 文件大小:3mb
    • 提供者:tian_ye_lang
  1. freescale MC9S12XEP100开发板DEMO C语言源程序

  2. 内含:串口收发程序,I/O口应用程序,模数转换程序,以及初始化等单片机入门应用。是freescale 9S12XE系列单片机入门难得的好资料。-freescale MC9S12XEP100 development board DEMO C language source code. Includes: serial transceiver procedures, I/O port applications, analog-to-digital conversion process, as we
  3. 所属分类:C

    • 发布日期:2012-09-01
    • 文件大小:576kb
    • 提供者:window888
  1. 部分经典C程序源代码

  2. 程序多为03年之前代码,但是学习用正合适,解压时有个别解压不出来属于正常问题(时间太久了 ) 一部分经典程序源代码其中包括: binder2源程序.c bo2ksrc cmjspy1.1 Dotpot Port Scanner 0.92 DRDoS E-bomb2.0source EnumProcces2.01 getsids-src-0.0.1 hdoicq IISBanner源代码 lovemail10 miniasylum-1_1 open3389源程序.cpp PasswordRemi
  3. 所属分类:C++

    • 发布日期:2012-12-25
    • 文件大小:1mb
    • 提供者:blurly
  1. php_manual_zh

  2. 目录 前言 作者和贡献者 作者与编辑 用户注释维护者 I. 入门指引 1. 简介 PHP 是什么? PHP 能做什么? 2. 简明教程 需要些什么? 第一个 PHP 页面 实用的脚本 处理表单 在新版本的 PHP 中使用旧的 PHP 代码 下一步做什么? II. 安装与配置 1. 安装前需要考虑的事项 2. Unix 系统下的安装 Unix 系统下的 Apache 1.3.x Unix 系统下的 Apache 2.0 Caudium 服务器 fhttpd 服务器 Sun Solaris 上的
  3. 所属分类:PHP

    • 发布日期:2012-12-31
    • 文件大小:5mb
    • 提供者:dana_zhang
  1. 数字秒表的设计

  2. 十进制计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count10 is port(clr,start,clk: in bit; cout: out bit; library ieee; daout: out std_logic_vector(3 downto 0)); end count10; architecture a of count10 is signal
  3. 所属分类:软件测试

    • 发布日期:2013-04-02
    • 文件大小:36kb
    • 提供者:u010135971
  1. Socket聊天室源程序

  2. Socket聊天室源程序 import java.awt.*; import java.awt.event.*; import javax.swing.*; import javax.swing.event.*; import java.io.*; import java.net.*; /* * 聊天客户端的主框架类 */ public class ChatClient extends JFrame implements ActionListener{ String ip = "127.0.0
  3. 所属分类:Web开发

    • 发布日期:2008-11-30
    • 文件大小:54kb
    • 提供者:uniquegui
« 12 »