您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 针对Verilog 的Testbench 例程

  2. 针对Verilog 的Testbench 例程,需要写Testbench 可以参考……
  3. 所属分类:嵌入式

    • 发布日期:2011-07-18
    • 文件大小:334848
    • 提供者:bushihuazai
  1. testbench精华

  2. testbench精华,testbench一般的写法,需要注意的事项
  3. 所属分类:硬件开发

    • 发布日期:2011-08-06
    • 文件大小:56320
    • 提供者:Qqichang
  1. 小波变换的源代码(VHDL)包含Testbench

  2. 小波变换的源代码(VHDL),包含Testbench
  3. 所属分类:硬件开发

    • 发布日期:2011-09-10
    • 文件大小:430080
    • 提供者:under120
  1. 怎样写testbench(xilinx的).

  2. 怎样写testbench(xilinx的).
  3. 所属分类:其它

    • 发布日期:2011-09-16
    • 文件大小:102400
    • 提供者:pangxieer
  1. 如何编写testbench的总结(非常实用的总结).doc

  2. 如何编写testbench的总结(非常实用的总结)
  3. 所属分类:硬件开发

    • 发布日期:2011-09-18
    • 文件大小:50176
    • 提供者:r_e_n_y_u
  1. verilog HDL 16位乘法器实现及testbench文件

  2. 适合新手学习verilog HDL语言。并附有testbench文件,共新手学习使用。
  3. 所属分类:其它

    • 发布日期:2011-10-28
    • 文件大小:1024
    • 提供者:fenglema
  1. testbench比较不错的教程

  2. 最近在学testbench,这是个不错的资料,尤其对新手而言。
  3. 所属分类:硬件开发

  1. 写testbench的总结

  2. 编写testbench非常实用的总结,包括激励设置,仿真设置等。另外还有一些实用的编写testbench的技巧!
  3. 所属分类:硬件开发

    • 发布日期:2011-11-19
    • 文件大小:64512
    • 提供者:huquan0610
  1. 组合-时序逻辑电路Verilog-Testbench代码_带仿真代码和波形_

  2. 组合-时序逻辑电路Verilog-Testbench代码_带仿真代码和波形_
  3. 所属分类:硬件开发

    • 发布日期:2011-11-27
    • 文件大小:1048576
    • 提供者:luoweilai
  1. 如何编写testbench的总结

  2. 详细总结了如何编写testbench,是FPGA设计环节中不可或缺的部分
  3. 所属分类:硬件开发

    • 发布日期:2011-12-02
    • 文件大小:64512
    • 提供者:chenchenluo
  1. how to write testbench

  2. 要是对ic设计感兴趣的可以先看看这个,对真正做ic设计时最重要的测试模块书写有个了解,不过这个只是个最初级的,这个是xilinx的一个testbench介绍
  3. 所属分类:其它

    • 发布日期:2011-12-06
    • 文件大小:279552
    • 提供者:happyjkh
  1. 用VHDL写testbench

  2. 文档描述了VHDL写testbench的方法,供专业人士或学生参考
  3. 所属分类:硬件开发

    • 发布日期:2012-01-10
    • 文件大小:13631488
    • 提供者:okgiftzhen
  1. 编写搞效率的testbench

  2. 清楚、详细的描述了如何编写高效率的testbench
  3. 所属分类:软件测试

    • 发布日期:2012-02-09
    • 文件大小:4194304
    • 提供者:wangcheng3052
  1. testbench编写技巧

  2. 基于verilog语言的testbench编写方法介绍
  3. 所属分类:硬件开发

    • 发布日期:2012-03-12
    • 文件大小:52224
    • 提供者:nihusheng
  1. 北大数字集成电路课件--15_Verilog-testbench的写法

  2. 北大数字集成电路课件--15_Verilog-testbench的写法
  3. 所属分类:专业指导

    • 发布日期:2012-04-04
    • 文件大小:138240
    • 提供者:coding_ali
  1. Testbench学习笔记

  2. Testbench学习笔记,都是网络上搜集的,很好的学习Testbench的资料
  3. 所属分类:硬件开发

    • 发布日期:2012-04-12
    • 文件大小:740352
    • 提供者:linussky
  1. VerilogHDL及其Testbench编写

  2. 在RTL级仿真时需要编写testbench进行仿真测试,VerilogHDL及其Testbench编写详细介绍了常见模块的编写
  3. 所属分类:硬件开发

    • 发布日期:2012-06-01
    • 文件大小:243712
    • 提供者:wsn4wsn
  1. 如何编写testbench

  2. 对于modesim的testbench入门学习非常不错,不错的学习文档
  3. 所属分类:嵌入式

    • 发布日期:2012-06-03
    • 文件大小:292864
    • 提供者:cuijk
  1. 怎样用VHDL写TESTBENCH.pdf

  2. modelsim 的基本使用方法,怎样用VHDL写TESTBENCH,适合初学使用。希望对大家有所帮助。
  3. 所属分类:其它

    • 发布日期:2012-06-07
    • 文件大小:13631488
    • 提供者:siquan12358
  1. Verilog Testbench设计技巧和策略.

  2. Verilog Testbench设计技巧和策略
  3. 所属分类:硬件开发

    • 发布日期:2012-06-09
    • 文件大小:283648
    • 提供者:wadeqqqqq
« 1 2 34 5 6 7 8 9 10 ... 31 »