您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字钟Verilog设计

  2. 数字钟 Verilog 硬件语言设计 包括设时,定时,闹铃等功能 可在quartus II仿真实现。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-27
    • 文件大小:35840
    • 提供者:biyonggang
  1. verilog设计数字钟

  2. 带闹钟和音乐电路的程序,不过定时后会一直响,还没想好怎么修改
  3. 所属分类:专业指导

    • 发布日期:2009-12-27
    • 文件大小:1048576
    • 提供者:t357008801
  1. verilog语言实现数字钟的设计

  2. 采用verilog语言实现数字钟的设计,采用quarters2语言环境。
  3. 所属分类:专业指导

    • 发布日期:2010-05-15
    • 文件大小:278528
    • 提供者:AMY1024
  1. Verilog 数字钟与汽车尾灯

  2. 共23页。 用Verilog编写的数字钟与汽车尾灯模块。其中数字钟具有时间显示的基本功能,按键校时校分,闹钟模块(包含校时校分),仿电台报时(四低一高),整点报时,12-24显示切换等强大功能。汽车尾灯用四个按键模拟左转,右转,刹车和倒车选择;六个LED模拟尾灯在不同行驶状态下的显示情况。两个实验均包含详细的原理及代码,且有时序仿真图和模块生成图。共23页,可作为课程设计的参考模板。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-26
    • 文件大小:594944
    • 提供者:yb7925385
  1. Verilog多功能数字钟的设计— 毕业设计VHDL

  2. Verilog多功能数字钟的设计— 毕业设计VHDL
  3. 所属分类:专业指导

    • 发布日期:2010-12-09
    • 文件大小:1048576
    • 提供者:ziyouren2008
  1. 基于Verilog HDL设计的多功能数字钟

  2. 本文利用Verilog HDL 语言自顶向下的设计方法设计多功能数字钟,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Altera QuartusⅡ 4.1 和ModelSim SE 6.0 完成综合、仿真。此程序通过下载到FPGA 芯片后,可应用于实际的数字钟显示中。
  3. 所属分类:嵌入式

    • 发布日期:2011-02-22
    • 文件大小:124928
    • 提供者:zhlyz2003
  1. 多功能数字钟Verilog设计程序

  2. 多功能数字钟Verilog HDL语言设计程序以及该程序语言的注释
  3. 所属分类:其它

    • 发布日期:2011-04-30
    • 文件大小:17408
    • 提供者:mac1991
  1. 基于Verilog的数字钟设计

  2. 基于Altera公司的FPGA设计,时分秒可调的数字钟
  3. 所属分类:硬件开发

    • 发布日期:2011-07-15
    • 文件大小:355328
    • 提供者:shark_xinyu
  1. 数字钟设计,包括万年历,闹钟,倒计时,秒表,进制转换

  2. 数字钟设计,包括万年历,闹钟,倒计时,秒表 进制转换.内含报告文档,代码注释,模块解析
  3. 所属分类:其它

  1. 数字钟设计 完整文件

  2. verilog设计完整的数字钟文件,下载到板子上直接可用
  3. 所属分类:软件测试

    • 发布日期:2013-03-26
    • 文件大小:4194304
    • 提供者:long630576366
  1. 用Verilog语言编写的多功能数字钟

  2. 用Verilog语言编写的多功能数字钟 《数字电子技术课程设计》报告
  3. 所属分类:专业指导

    • 发布日期:2013-06-19
    • 文件大小:147456
    • 提供者:u011132877
  1. 数字钟设计代码

  2. 数字钟的基本功能,verilog语言实现。
  3. 所属分类:软件测试

    • 发布日期:2013-06-28
    • 文件大小:3145728
    • 提供者:zs1207790125
  1. 数字钟(verilog+数码管)

  2. 基于FPGA的数字钟设计,完整工程有doc文档,verilog+数码管实现。
  3. 所属分类:硬件开发

    • 发布日期:2013-07-29
    • 文件大小:2097152
    • 提供者:zl419723
  1. 数字钟实习报告

  2. 本设计主要利用verilog语言在EDA平台上设计一个电子数字钟,它的计时为24小时小时制,显示满刻度为23时59分59秒,另外还具有校时功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和校时程序模块、数据选择器程序模块、显示程序模块等。并且使用QUARTUS II软件进行电路波形仿真,下载到电路板进行验证。
  3. 所属分类:其它

    • 发布日期:2013-11-20
    • 文件大小:934912
    • 提供者:u012895686
  1. verilog数字钟

  2. verilog 数字钟设计,功能齐全 (1)设计一个数码管实时显示时、分、秒的数字时钟(24小时显示模式); (2)可以调节小时,分钟。 (3)能够进行24小时和12小时的显示切换。 (4)可以设置任意时刻闹钟,并且有开关闹钟功能。 (5)有整点报时功能,几点钟LED灯闪亮几下。 (6)有复位按键,复位后时间从零开始计时,但闹钟设置时间不变。
  3. 所属分类:硬件开发

    • 发布日期:2014-04-23
    • 文件大小:98304
    • 提供者:long630576366
  1. 基于FPGA的数字钟设计

  2. 设计一个用 LED 7段显示器显示的24小时制数字钟, (1)用8个LED 显示时间,如9点25分10秒显示为,09-25-10。 (2)设置2个按键,按键SET用于工作模式选择,按键UP用于设置数值。
  3. 所属分类:硬件开发

    • 发布日期:2014-06-09
    • 文件大小:1048576
    • 提供者:lilong135984
  1. 基于Verilog多功能数字钟电路设计

  2.    多功能数字钟应该具有的功能有:显示时—分—秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。
  3. 所属分类:嵌入式

    • 发布日期:2014-06-20
    • 文件大小:147456
    • 提供者:qq_16611251
  1. 课设——数字钟.zip

  2. 数字逻辑电路的课程设计——数字钟,检查顺利通过,verilog实现,模拟平台:Quartus 9.1
  3. 所属分类:互联网

    • 发布日期:2020-04-06
    • 文件大小:950272
    • 提供者:NiZjiTouA
  1. clocka.v 数字钟代码

  2. 使用Verilog进行数字钟编写,设计一个数字钟,该数字钟能进行正常的时,分计时功能,且能对数字钟的时间进行调整。 该数字钟有3个按键,按键1为功能键,用于数字钟在“正常计时”,“时调整”,“分调整”这三个功能之间切换;按键2,3用于调整时间,仅当数字钟处于“时调整”或“分调整”时有效,分别对应加一和减一操作。
  3. 所属分类:硬件开发

    • 发布日期:2019-10-31
    • 文件大小:8192
    • 提供者:bin_bin_bun
  1. 数电课设verilog做成数字钟

  2. 1、设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。 2、整点报时。仿中央人民广播电台的整点报时信号,即从第59分50秒算起,每隔2秒钟发出一次信号,连续5次,最后一次信号结束即达到整点。不同步扣2分,可通过LED闪烁实现。 3、实现手动校时、校分、校秒功能。(缺一项扣一分,如果利用系统时钟校时,扣1分) 4、定时与闹钟功能,只需要设置分钟和小时。手动设置能在设定的时间发出闹铃声,声音用LED实现。 5、设计一个10个数的倒计时,闪烁显示,闪烁频率自定(无闪烁扣
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:729088
    • 提供者:ssslevel
« 12 3 »