您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA七段数码显示译码器设计

  2. 七段数码显示译码器设计,VHD语言设计L
  3. 所属分类:其它

    • 发布日期:2009-05-23
    • 文件大小:319488
    • 提供者:hnicholastse
  1. LED七段数码管数字钟

  2. 设计并完成LED七段数码管数字钟电路,数字钟显示格式为:HH:MM:SS。要求:具有通过键盘能够调整时、分、秒的功能。 内附文档说明以及程序运行结果 这是我们的微机原理课程设计内容.
  3. 所属分类:专业指导

  1. LED七段数码管数字钟

  2. LED七段数码管数字钟: 1.设计并完成LED七段数码管数字钟电路。 2.数字钟显示格式为:HH:MM:SS。 3.具有通过键盘能够调整时、分、秒的功能
  3. 所属分类:专业指导

    • 发布日期:2009-06-23
    • 文件大小:347136
    • 提供者:urapig
  1. 七段数码显示的数字时钟

  2. 七段数码显示的数字时钟 七段数码显示的数字时钟 七段数码显示的数字时钟 七段数码显示的数字时钟
  3. 所属分类:C/C++

    • 发布日期:2009-08-25
    • 文件大小:56320
    • 提供者:tikycc2
  1. 七段数码管显示实验七段数码管显示实验

  2. 七段数码管显示实验七段数码管显示实验七段数码管显示实验七段数码管显示实验七段数码管显示实验
  3. 所属分类:C/C++

    • 发布日期:2009-11-27
    • 文件大小:115712
    • 提供者:sdsdojs
  1. 七段管显示ILOVEYOU的单片机实现

  2. 七段管显示ILOVEYOU的单片机实现 用八个数码管显示固定的iloveyou,单片机动态扫描
  3. 所属分类:硬件开发

    • 发布日期:2009-11-29
    • 文件大小:501
    • 提供者:aicyou
  1. 七段数码显示\ 数字时钟

  2. ,有一篇的实现方法较为简单,但绘出的数字不够逼真,而另一篇实现的效果虽然逼真,但必须依靠位图资源,并且无法设置前景色和背景色等。笔者经过细心的研究与试验,终于找到了较好的解决办法。本文将具体讲述七段数码显示的数字时钟的实现。   关键字 七段数码显示
  3. 所属分类:专业指导

    • 发布日期:2009-12-11
    • 文件大小:5120
    • 提供者:crazyrock
  1. 基于EDA实现七段数码显示译码器设计

  2. 为了能以十进制数码直观地显示数字系统的运行数据, 符显示器有七段可发光的线段拼合而成。常见的七段字符显示器有半导体数码管和液晶显示器两种。
  3. 所属分类:专业指导

    • 发布日期:2010-01-23
    • 文件大小:497664
    • 提供者:zjp649527
  1. 数字逻辑 Verilog HDL 七段数码管

  2. 数字逻辑课程的上机作业 用Verilog HDL 语言编写七段数码管的显示程序
  3. 所属分类:专业指导

    • 发布日期:2010-11-16
    • 文件大小:427008
    • 提供者:anyu2008
  1. 实验一 七段数码显示译码器

  2. 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。但为了简化过程,首先完成7段BCD码译码器的设计。如图3-1作为7段BCD码译码器,输出信号LED7S的7位分别接如图3-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b
  3. 所属分类:C

    • 发布日期:2010-12-08
    • 文件大小:377856
    • 提供者:XUQIWEN1
  1. 七段码显示汇编语言程序设计

  2. 七段码显示汇编语言程序设计 微机原理接口技术 七段数码管 汇编程序设计
  3. 所属分类:硬件开发

    • 发布日期:2011-01-12
    • 文件大小:270336
    • 提供者:hellowuhan
  1. 一个实用的七段数码管显示程序

  2. 该程序是一个人见人爱,花见花开的七段数码管显示程序,非常的不错!
  3. 所属分类:C/C++

    • 发布日期:2011-01-25
    • 文件大小:4194304
    • 提供者:johnjiangzm
  1. 七段数码管IP的研究与设计

  2. 七段数码管动态显示IP的研究与设计 张亚峰 编
  3. 所属分类:专业指导

    • 发布日期:2011-01-30
    • 文件大小:430080
    • 提供者:guoyinghong
  1. 七段数码管计数器 汇编程序

  2. 七段数码管计数器 汇编程序 【实验题目】七段数码管计数器 【实验目的】掌握8255A的方式0以及七段数码管的显示方法。 增加8255A PC7作为单脉冲按钮的输入,每按一次单脉冲按钮七段数码管加1,从00到59循环显示(初值为58)。画出流程图
  3. 所属分类:专业指导

    • 发布日期:2011-04-26
    • 文件大小:41984
    • 提供者:weian8
  1. EDA实验报告 七段数码显示译码器的设计

  2. 7段数码管是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。
  3. 所属分类:专业指导

    • 发布日期:2011-05-19
    • 文件大小:178176
    • 提供者:xiancc
  1. 基于Nios_软核处理器的七段数码管动态显示设计

  2. 基于Nios_软核处理器的七段数码管动态显示设计
  3. 所属分类:硬件开发

    • 发布日期:2011-06-07
    • 文件大小:1048576
    • 提供者:woshipepsi2008
  1. 基于Nios_软核处理器的七段数码管动态显示设计

  2. 基于Nios_软核处理器的七段数码管动态显示设计
  3. 所属分类:其它

    • 发布日期:2011-06-13
    • 文件大小:1048576
    • 提供者:cc4328758
  1. 一个用LABVIEW制作的七段数码显示管

  2. 一个用LABVIEW制作的七段数码显示管
  3. 所属分类:其它

    • 发布日期:2011-06-19
    • 文件大小:12288
    • 提供者:czj10891
  1. 七段数码显示字符图

  2. 0-F的七段数码显示字符图,共阴极,码的顺序为abcdefgh,包括小数点h
  3. 所属分类:专业指导

    • 发布日期:2011-11-28
    • 文件大小:97280
    • 提供者:agengyx
  1. 七段数码显示的数字时钟

  2. 七段数码显示的数字时钟 七段数码显示的数字时钟 七段数码显示的数字时钟
  3. 所属分类:C/C++

    • 发布日期:2009-04-06
    • 文件大小:56320
    • 提供者:spydx2
« 12 3 4 5 6 7 8 9 10 ... 24 »