您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. led七段显示码发生器

  2. led七段显示码发生器,led七段显示码发生器,led七段显示码发生器
  3. 所属分类:其它

    • 发布日期:2009-08-28
    • 文件大小:788480
    • 提供者:flourishstar
  1. 发光二极管七段码设计工具

  2. 很好用的七段码生成工具: 发光二极管七段码设计工具
  3. 所属分类:其它

    • 发布日期:2009-12-29
    • 文件大小:207872
    • 提供者:handrap
  1. 1.将数组分成正数和负数2.16位无符号排序3.七段码4.产生随机数5.字程序编制

  2. 1.将数组分成正数和负数2.16位无符号排序3.七段码4.产生随机数5.字程序编制。汇编语言编制的简单程序,适合初学者
  3. 所属分类:硬件开发

    • 发布日期:2010-03-26
    • 文件大小:8192
    • 提供者:fafalee2008
  1. 七段码显示汇编语言程序设计

  2. 七段码显示汇编语言程序设计 微机原理接口技术 七段数码管 汇编程序设计
  3. 所属分类:硬件开发

    • 发布日期:2011-01-12
    • 文件大小:270336
    • 提供者:hellowuhan
  1. labview七段数字码

  2. labview中利用布尔元件实现七段数字码
  3. 所属分类:专业指导

    • 发布日期:2011-03-22
    • 文件大小:10240
    • 提供者:shrimpking512
  1. 数码管段码查询V1.0

  2. 数码管段码查询V1.0,为七段数码管的短吗输出查询,很好用,单片机开发必备软件!!!记住,自学很重要!!!!!我的资源里面还有很多电子、单片机方面的资料、工具、软件....
  3. 所属分类:嵌入式

    • 发布日期:2011-03-27
    • 文件大小:32768
    • 提供者:q45213212
  1. CD4511BCD 码—七段码译码器

  2. CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器, 具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。
  3. 所属分类:其它

    • 发布日期:2011-03-30
    • 文件大小:29696
    • 提供者:t07190612
  1. vhdl七段码转换器

  2. 设计一个代码转换逻辑电路。把7位的ASCII码转换成7段字符显示代码。 能显示字母A,b,C,d,E,F,H,L,o,P,U,Γ,和一些符号(-,_,=,┫,┣,┓,┏)等。
  3. 所属分类:硬件开发

  1. 电工查询工具包

  2. 可以查询色环电阻值,秒表,七段码查询,传感器阻值查询等等,多功能查询工具
  3. 所属分类:硬件开发

    • 发布日期:2011-09-08
    • 文件大小:314368
    • 提供者:sidneyysk
  1. 七段数码显示字符图

  2. 0-F的七段数码显示字符图,共阴极,码的顺序为abcdefgh,包括小数点h
  3. 所属分类:专业指导

    • 发布日期:2011-11-28
    • 文件大小:97280
    • 提供者:agengyx
  1. 七段码显示

  2. 基于8051F系列单片机的七段码显示!基于8051F系列单片机的七段码显示!
  3. 所属分类:硬件开发

    • 发布日期:2011-12-17
    • 文件大小:7168
    • 提供者:tianya58198
  1. vhdl七段码显示代码

  2. 此为七个数码管显示电路,采取轮换形式显示
  3. 所属分类:C/C++

    • 发布日期:2008-06-15
    • 文件大小:31744
    • 提供者:taiji_feng
  1. 七段码字库

  2. 这个是真正的七段数码字符,包括10个数字和26个字母,此前NI的不是七段码。
  3. 所属分类:C

    • 发布日期:2012-04-06
    • 文件大小:1048576
    • 提供者:happy_tom
  1. 七段码 字库

  2. 七段码 字库,我收藏的一种七段码字体之一,对于做LCD的有帮助
  3. 所属分类:其它

    • 发布日期:2013-03-01
    • 文件大小:24576
    • 提供者:amwox
  1. MAX7219级联驱动七段码管

  2. 完整的演示使用多个MAX7219级联驱动多个8位七段码管的例子,本例中使用的是2个七段码管,但是通过简单的扩展,提供不同的调用参数即可实现n个8位七段码管的驱动,带有Proteus 7.8仿真程序,C源代码和hex文件一应俱全,相信一看代码就能读懂并进行应用,结合MAX7219的资料,就可以设计出驱动电路了
  3. 所属分类:C

    • 发布日期:2013-10-17
    • 文件大小:36864
    • 提供者:cdinten
  1. 七段码显示器驱动程序

  2. 使用c语言编写的七段码显示器驱动,可与嵌入式等合用
  3. 所属分类:C

    • 发布日期:2014-04-08
    • 文件大小:21504
    • 提供者:u014600772
  1. 变化七段码

  2. 变化七段码,单片机 程序
  3. 所属分类:教育

    • 发布日期:2014-09-05
    • 文件大小:56320
    • 提供者:zhangliaozz
  1. Verilog编写的七段数码管显示的源码

  2. 用Verilog实现FPGA七段数码管的显示。
  3. 所属分类:嵌入式

    • 发布日期:2014-12-25
    • 文件大小:2048
    • 提供者:akeron
  1. 发光二极管七段码生成器

  2. 发光二极管七段码生成器,电子设计程序编写更简单!
  3. 所属分类:专业指导

    • 发布日期:2010-10-22
    • 文件大小:207872
    • 提供者:wyw2754576
  1. 七段码转换16#的文档

  2. 七段码转换16#的文档 七段码转换16#的文档 七段码转换16#的文档 七段码转换16#的文档 七段码转换16#的文档
  3. 所属分类:硬件开发

    • 发布日期:2015-08-17
    • 文件大小:10240
    • 提供者:qq_30671327
« 12 3 4 5 6 7 8 9 10 ... 24 »