点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 乘
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
php乘风多用户流量统计
php 乘风多用户流量统计php 乘风多用户流量统计php 乘风多用户流量统计php 乘风多用户流量统计php 乘风多用户流量统计php 乘风多用户流量统计php 乘风多用户流量统计
所属分类:
PHP
发布日期:2010-04-14
文件大小:4194304
提供者:
yang1276
集成模拟乘法器实现振幅调制的另一种分析方法及应用
集成模拟乘法器实现振幅调制的另一种分析方法及应用,主要阐述了其原理和电路图
所属分类:
专业指导
发布日期:2010-04-20
文件大小:175104
提供者:
soxinsoxgodsox
大数阶乘 Visual C++ 链表实现
程序通过链表实现了大数阶乘,速度比较快,而且可以知道运行时间,很实用
所属分类:
C++
发布日期:2010-04-20
文件大小:718848
提供者:
justasabc
算法基础与递归-百积问题-递归求公约数-求阶乘-斐波那契数列
百鸡问题 递归与非递归求最大公约数 斐波那契数列递归与非递归算法 递归与非递归求阶乘
所属分类:
其它
发布日期:2010-04-20
文件大小:143360
提供者:
liehuofeihu
VHDL16位乘法器
不错的乘法器代码library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;
所属分类:
其它
发布日期:2010-04-21
文件大小:1024
提供者:
yrb8618
常用乘法器的设计-Verilog
关于常用的乘法器的设计,书上的例子,很好用
所属分类:
专业指导
发布日期:2010-04-22
文件大小:2048
提供者:
cathy1225
最小二乘估计matlab程序
最小二乘估计 matlab程序 通过程序很快就能估计出坐标值通过程序很快就能估计出坐标值
所属分类:
其它
发布日期:2010-04-23
文件大小:621
提供者:
snowflowing0125
原码一位乘法器实验报告
原码一位乘法器的实现过程
所属分类:
其它
发布日期:2008-01-03
文件大小:143360
提供者:
jingzengtang
矩阵连乘源代码
算是经典的矩阵连乘的源代码,给有需要的人~~~~~
所属分类:
其它
发布日期:2008-01-09
文件大小:77824
提供者:
hhcccchh
Java编写的HouseHolder豪斯霍尔德最小二乘
Java编写的HouseHolder豪斯霍尔德最小二乘,经测试不错欢迎下载。
所属分类:
Java
发布日期:2010-04-26
文件大小:3072
提供者:
c1032176200
判断阶乘末尾有几个零
判断阶乘末尾有几个零 阶乘 不计算阶乘 不计算阶乘
所属分类:
C/C++
发布日期:2010-04-28
文件大小:424
提供者:
masikkk
旋转因子乘法器VHDL 代码
旋转因子乘法器VHDL 代码。。在百度上很难找到的。。
所属分类:
其它
发布日期:2010-04-29
文件大小:25600
提供者:
shupeng7279204
Java编写的用最小二乘拟合曲线(带图形)
java,数值计算,曲线拟合,最小二乘,带画图,程序中数据都是固定的如果想应用于其他数据可自行更改,很easy。
所属分类:
Java
发布日期:2010-04-29
文件大小:5120
提供者:
c1032176200
最小二乘参数辨识程序.doc
最小二乘参数辨识程序.doc 很有用的程序
所属分类:
专业指导
发布日期:2010-04-30
文件大小:49152
提供者:
guaguaguai2003
最小二乘及多项式拟合
最小二乘用了Lsqcurvefit函数拟合和ployfit函数拟合两种方法,多项式拟合用polyfit函数拟合,其中的数据xData及yData需从一.xls文件中读取,分别在第三及第四列,也可用xData=[1 2 3 4 ];yData=[5 6 7 8 ];类似的数组定义代替[nums txt raw] = xlsread ('yin1.xls'); [rows cols]= size(raw); xData=nums(:,3);这几句,此程序带图像显示,已验证。 yData=nums(
所属分类:
专业指导
发布日期:2010-04-30
文件大小:3072
提供者:
YIDIAN593526996
LSL最小二乘格型算法java程序实现LSL
最小二乘格型算法LSL的java程序实现,采用两个权值w1,w2,输入迭代次数,观察实验结果w1和w2的收敛去世
所属分类:
其它
发布日期:2010-04-30
文件大小:3072
提供者:
liuzhi38865999
LSL最小二乘格型滤波器算法讲解PPT
LSL最小二乘格型滤波器讲解 ppt,实现整个算法的流程,深入浅出的讲解LSL的迭代递推算法
所属分类:
其它
发布日期:2010-04-30
文件大小:795648
提供者:
liuzhi38865999
用C#编写一个求10!(十的阶乘)的控制台应用程序
创建一个控制台应用程序,求1!+2!+……+10!。编写一个函数来求一个数的阶乘。
所属分类:
C#
发布日期:2010-05-03
文件大小:911
提供者:
s123mutouren
应用最小二乘一次完成法和递推最小二乘法算法的系统辨识
应用最小二乘一次完成法和递推最小二乘法算法的系统辨识实验报告,包含概念理论及Matlab报告程序。完备的资料。你值得拥有。。。。。。
所属分类:
其它
发布日期:2010-05-06
文件大小:880640
提供者:
rg3211
基于偏最小二乘回归的军用飞机采购价格预测
摘要:考虑到军用飞机采购价格样本数据少、难于预测的特点和偏最小二乘回归方法在处理小样本多元数 据方面的优势,提出一种基于偏最小二乘回归的军用飞机价格预测方法.偏最小二乘回归首先提取第一、第二 主成分对采购价格样本的特异点进行剔除;然后进行变量投影重要度分析来筛选变量;最后.偏最小二乘回归 对筛选的变量进行回归建立军用飞机价格预测模型,并对军用飞机价格进行预测.结果表明.在军用飞机价格 预测方面,与未筛选变量的回归模型和逐步多元回归相比.经过变量筛选的偏最小二乘回归模型预测的精度 更高,更能体现
所属分类:
专业指导
发布日期:2010-05-06
文件大小:235520
提供者:
streamorning
«
1
2
3
4
5
6
7
8
9
10
11
12
...
50
»