您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 动态规划实现矩阵连乘

  2. 这个事使用C++实现动态规划实现矩阵连乘,并找到最优值的算法。使用平台是VS2008。
  3. 所属分类:其它

    • 发布日期:2010-05-08
    • 文件大小:1020928
    • 提供者:chenxuanying
  1. 基于WEB的最小二乘线性拟合

  2. 此程序是用flex写的最小二乘曲线拟合的一次拟合
  3. 所属分类:Web开发

    • 发布日期:2010-05-09
    • 文件大小:3072
    • 提供者:TMD94510
  1. 基于VHDL语言的浮点乘法器的实现

  2. 基于VHDL语言的浮点乘法器的实现基于VHDL语言的浮点乘法器的实现基于VHDL语言的浮点乘法器的实现基于VHDL语言的浮点乘法器的实现
  3. 所属分类:其它

    • 发布日期:2010-05-10
    • 文件大小:175104
    • 提供者:hnbcyrnd89
  1. VHDL编写一个四位无符号乘法器

  2. VHDL编写一个四位无符号乘法器VHDL编写一个四位无符号乘法器VHDL编写一个四位无符号乘法器VHDL编写一个四位无符号乘法器VHDL编写一个四位无符号乘法器VHDL编写一个四位无符号乘法器
  3. 所属分类:其它

    • 发布日期:2010-05-10
    • 文件大小:14336
    • 提供者:hnbcyrnd89
  1. 基于CPLD的混合逻辑乘法器的设计

  2. 基于CPLD的混合逻辑乘法器的设计基于CPLD的混合逻辑乘法器的设计
  3. 所属分类:其它

    • 发布日期:2010-05-10
    • 文件大小:64512
    • 提供者:hnbcyrnd89
  1. VHDL基础实验乘法器

  2. VHDL基础实验乘法器VHDL基础实验乘法器VHDL基础实验乘法器
  3. 所属分类:其它

    • 发布日期:2010-05-10
    • 文件大小:2048
    • 提供者:hnbcyrnd89
  1. 偏最小二乘回归在军用飞机价格预测中的应用.pdf

  2. 偏最小二乘回归在军用飞机价格预测中的应用 数学模型
  3. 所属分类:专业指导

    • 发布日期:2010-05-13
    • 文件大小:270336
    • 提供者:runner1990
  1. 矩阵链乘和n皇后问题的程序和解题报告(随机法+回溯法)

  2. 矩阵链乘和n皇后问题的程序和解题报告 其中n皇后问题采用两种方法求解:1、回溯法;2、随机算法与回溯法结合。
  3. 所属分类:其它

    • 发布日期:2010-05-13
    • 文件大小:199680
    • 提供者:ryzasia
  1. lssvm最小二乘向量机

  2. 详细介绍了最小二乘向量机的代码和一些编辑程序 适合初学者试用。本资料一个关于最小二乘向量机回归的例子,本人用了感觉不错
  3. 所属分类:专业指导

    • 发布日期:2010-05-14
    • 文件大小:461824
    • 提供者:xuliang1986
  1. 8位乘法器,用verilog语言编写

  2. 用verilog语言编写的8位乘法器,完成了8位二进制的整数乘法,供大家参考
  3. 所属分类:其它

    • 发布日期:2010-05-14
    • 文件大小:632832
    • 提供者:shuangman51
  1. 集成模拟乘法器与调幅波信号解调电路设计与测试

  2. 如题 集成模拟乘法器与调幅波信号解调电路设计与测试 !!!!!!!!!!!!
  3. 所属分类:专业指导

    • 发布日期:2010-05-15
    • 文件大小:387072
    • 提供者:czhy2
  1. MATLAB 最小二乘

  2. MATLAB代码 实现最小二乘 内附例子说明
  3. 所属分类:其它

    • 发布日期:2010-05-16
    • 文件大小:12288
    • 提供者:kinllink
  1. 8位乘法器的毕业设计

  2. 本课题的设计来源是基于标准硬件描述语言(Very High Speed Integrated Circuit Hardware Descr iption Language,VHDL)及MAX + Plus II(Multiple Array Matrix Programmable Logic User System)软件开发工具的进行模拟仿真的8位乘法器,用于实现8位移位相加乘法器的乘法运算功能。
  3. 所属分类:嵌入式

    • 发布日期:2010-05-16
    • 文件大小:202752
    • 提供者:wuxiaodong1
  1. 应用最小二乘一次完成法和递推最小二乘法算法的系统辨识word

  2. 应用最小二乘一次完成法和递推最小二乘法算法的系统辨识word。看题目就知道,是word!
  3. 所属分类:其它

    • 发布日期:2010-05-17
    • 文件大小:880640
    • 提供者:shanpohe
  1. 用Java写的求阶乘的运算

  2. 这是一个用Java写的阶乘运算,用的是迭代的方法,如果加一个扫描器就可以实现求任意数的阶乘运算。
  3. 所属分类:Java

    • 发布日期:2010-05-17
    • 文件大小:320
    • 提供者:OldVine
  1. SIMO系统辅助变量最小二乘盲辨识方法.pdf

  2. SIMO系统辅助变量最小二乘盲辨识方法.pdf
  3. 所属分类:其它

    • 发布日期:2010-05-19
    • 文件大小:527360
    • 提供者:lixin12341
  1. 阶乘Matlab的程序

  2. 小程序,用于实现阶乘,用Matlab实现~~~~~~~~~
  3. 所属分类:其它

    • 发布日期:2010-05-20
    • 文件大小:319
    • 提供者:lst_hit
  1. 单片机应用及系统计基于MSP430的简易乘法器

  2. 本次设计主要是利用实验箱的硬件资源实现简单的乘法器功能,由于显示的LED灯的限制,这里只能完成三位数的乘法运算。在程序运行的过程中,可以利用实验板的按键输入所需要运算的数和符号,在此之前这些数和符号已经在程序中定义了。然后MSP430经运算以后,最后按下等于号之后结果会从高到低显示到LED上。其中的键盘和LED已经集成在了实验板上,用到的时候只需要做相应的端口定义即可。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-21
    • 文件大小:167936
    • 提供者:huting010527
  1. 影像匹配、特征点提取、影像相关、最小二乘

  2. 本程序实现了对影像特征点自动提取,利用Morevac、Forstner、Harris3个经典算子。在此基础上利用相关系数法实现影像自动匹配,并且引入最小二乘平差,使匹配点精度有所提高。 在搜索点过程中,利用了核线影像特性,对二维影像搜索使用了爬山法启发式搜索。对大数据量影像采用影像金字塔结构处理。 1、使用GDAL库读取影片,支持TIFF、PNG、JPEG、JPG、BMP、GIF、IMG格式读取。使用GDI绘图。 2、防止大数据量绘图视图闪烁,图片显示采用双缓存技术。 3、保存视图数据为图片文
  3. 所属分类:C++

    • 发布日期:2010-05-22
    • 文件大小:2097152
    • 提供者:gudufuyun
  1. 四 位 乘 法 器四 位 乘 法 器

  2. 四 位 乘 法 器,,,,四 位 乘 法 器
  3. 所属分类:专业指导

    • 发布日期:2010-05-22
    • 文件大小:274432
    • 提供者:daijiaershao
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »