您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于DDS的扫频信号发生器

  2. 基于DDS的扫频信号发生器基于DDS的扫频信号发生器
  3. 所属分类:嵌入式

  1. 基于DDS芯片AD7008的信号发生器的设计与实现

  2. 基于DDS芯片AD7008的基于DDS芯片AD7008的信号发生器的设计与实现信号发生器的设计与实现
  3. 所属分类:嵌入式

  1. 基于Labview的信号发生器的设计

  2. 转载信号发生器基于Labview的信号发生器的设计
  3. 所属分类:IT管理

    • 发布日期:2011-05-22
    • 文件大小:818176
    • 提供者:showeee
  1. 函数信号发生器毕业设计

  2. 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器。
  3. 所属分类:专业指导

    • 发布日期:2011-05-23
    • 文件大小:1048576
    • 提供者:xuexilun90
  1. 基于CAN总线的报警信号发生器

  2. 报警信号发生器可由手动按键、主控话站、传感器三个信号分别控制,当单片机接收到其中任意一个信号,产生相应的报警音。报警音通过电路放大,单片机控制继电器J1的开闭,将报警音送到本机扬声器或者呼叫通道,从而实现报警的功能。本机扬声器的作用是:调试时,模拟报警功能。 报警信号发生器能自动或者手动触发报警,单片机生成音频信号。当报警信号发生器接收到来自手动按键、主控话站或者传感器的不同触发信号时,可以通过本机扬声器或者呼叫通道发送六种不同的报警音,同时数码管上显示相应的报警类型。
  3. 所属分类:C

    • 发布日期:2011-05-24
    • 文件大小:66560
    • 提供者:weiwei1824
  1. EDA设计的信号发生器

  2. EDA设计的信号发生器,可产生方波,正弦波,锯齿波,三角波四种波形。
  3. 所属分类:其它

  1. 函数信号发生器.doc

  2. 函数信号发生器设计书.doc 关键词: ATMEGA16,DAC0832,LM324,A/D转换,波形;
  3. 所属分类:专业指导

    • 发布日期:2011-05-28
    • 文件大小:246784
    • 提供者:wtuboox
  1. 函数信号发生器原程序.h

  2. 函数信号发生器程序-------详见本载频道的《函数信号发生器》 关键词: ATMEGA16,DAC0832,LM324,A/D转换,波形;
  3. 所属分类:专业指导

    • 发布日期:2011-05-28
    • 文件大小:6144
    • 提供者:wtuboox
  1. 信号发生器的制作和应用

  2. 信号发生器的制作和应用信号发生器的制作和应用信号发生器的制作和应用
  3. 所属分类:其它

    • 发布日期:2011-05-28
    • 文件大小:19456
    • 提供者:lxg19900927
  1. DDS线性调频信号发生器(FPGA, VHDL)

  2. 用VHDL编写的 正弦波DDS线调频信号发生器(FPGA)。其中,rom为1/4周期波形,波形起始、终止频率在K_con.vhd模块中的f1、f2常数。步进不仅频率控制字在判断clk上升沿下一行所加的数值。本程序通过QuartusII 9.0调试通过
  3. 所属分类:电信

    • 发布日期:2011-05-28
    • 文件大小:326656
    • 提供者:joeshardow
  1. 基于FPGA的函数信号发生器

  2. 基于FPGA的多功能函数信号发生器,很不错的资源
  3. 所属分类:3G/移动开发

    • 发布日期:2011-05-31
    • 文件大小:656384
    • 提供者:cjl1040139047
  1. 模电课程设计 函数信号发生器

  2. 扬州大学能源与动力工程学院 本科生课程设计 信号发生器
  3. 所属分类:专业指导

    • 发布日期:2011-06-03
    • 文件大小:5242880
    • 提供者:hjj381
  1. 基于labvIEW的信号发生器

  2. 自己编写的信号发生器,简单,包含方波三角波正弦波以及锯齿波等,还添加白噪声
  3. 所属分类:其它

    • 发布日期:2011-06-07
    • 文件大小:79872
    • 提供者:xiaomandamanyu
  1. 基于DSP的设计正弦波信号发生器

  2. 基于DSP的设计正弦波信号发生器 课程设计
  3. 所属分类:嵌入式

    • 发布日期:2011-06-09
    • 文件大小:417792
    • 提供者:nicholasno2
  1. 函数信号发生器实验室报告

  2. 函数信号发生器实验室报告,通过这一次的设计,程序的编写使得我们对元件的例化有了一定的理解。元件例化语句就是把低层次的元件端口信号映射成高层次设计电路中的新号,相当于对一个现成的设计实体进行封转。Top里其实就是对各种函数和分频器与选择模块对应起来,形成多口映射。此外,通过对DA转换芯片的使用,我们了解到它的使用方法与原理,对日后的应用起到了一定的指引是作用。设计的过程,小组的三人一起探讨问题,加强了我们解决问题的能力,也深化了我们团队合作的精神。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-13
    • 文件大小:1048576
    • 提供者:tak_shing
  1. labview 信号发生器和示波器设计

  2. 基于labview 信号发生器和示波器设计的课程设计
  3. 所属分类:其它

    • 发布日期:2011-06-17
    • 文件大小:68608
    • 提供者:jax666
  1. protel程序制作课程设计简易信号发生器 设计报告

  2. 简易信号发生器 ,protel程序制作,设计报告,图片,线路图
  3. 所属分类:其它

    • 发布日期:2011-06-20
    • 文件大小:987136
    • 提供者:qinshiyuan
  1. eda设计信号发生器

  2. 以vhdl语言编程的fpga模块8选一信号发生器 可产生三角波,方波,锯齿波等
  3. 所属分类:软件测试

    • 发布日期:2011-06-23
    • 文件大小:332800
    • 提供者:guduhanyan
  1. 函数信号发生器_电子线路课程设计_doc

  2. 函数信号发生器_电子线路课程设计_doc
  3. 所属分类:VB

    • 发布日期:2011-06-23
    • 文件大小:707584
    • 提供者:dao123456wow
  1. 低频三相函数信号发生器制作

  2. 关于低频三相函数信号发生器的原理及制作,设计要求,方案论证,设计流程,原理图等
  3. 所属分类:其它

    • 发布日期:2011-06-24
    • 文件大小:269312
    • 提供者:lc13659669235
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 50 »