您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 74系列芯片资料 74564 TTL 八位三态反相输出D触发器

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-05-02
    • 文件大小:122880
    • 提供者:codychang
  1. verilog 小实例(初学者适用)

  2. 初学者用到得一些例题,很有帮助的。包括全加器,乘法器,触发器等等……共40多项
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:187392
    • 提供者:chaolumeng81
  1. 74系列IC带管脚图

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-07-26
    • 文件大小:703488
    • 提供者:yan131423yong
  1. DSP接口电路设计与编程

  2. 内容简介 本书以ADSP2106x、ADSP2116x系列高性能浮点DSP为主,介绍了以数字信号处理器(DSP)为核心的实时数字信号处理的系统设计,详细论述了DSP与多种外围接口电路的设计方法,包括各种存储器、模数和数模转换电路、异步串行接口、地址/数据复用总线、扩展I/O、CPCI总线,以及相关的软件编程和调试方法,还介绍了高速数字电路、数模混合电路的印制板设计方法。 本书面向通信、雷达和电子工程类领域的科研和工程设计人员以及相关专业的研究生和高年级本科生。 目录 第1章 DSP的结构和功能
  3. 所属分类:硬件开发

    • 发布日期:2009-09-26
    • 文件大小:10485760
    • 提供者:menglimin
  1. 基于MC1496的鉴相器

  2. MC1496是双平衡四象限模拟乘法器。 鉴频是调频的逆过程,广泛采用的鉴频电路是相位鉴频器。其鉴频原理是:先将调频波经过一个线性移相网络变换成调频调相波,然后再与原调频波一起加到一个相位检波器进行鉴频。因此实现鉴频的核心部件是相位检波器。
  3. 所属分类:网络基础

    • 发布日期:2010-05-04
    • 文件大小:403456
    • 提供者:wlp822
  1. 风之语江湖 XP v6.0 永恒爱恋版

  2. 解决几种卡片不能使用 结婚站外提交漏洞 解决9级查10级的ip 后台增加查询储物箱 取消打倭寇得到的属性 增强了安全性能,限制myie32版登陆 解决清理粪库站外提交 取消需暂离才能泡点的功能 修改转世投胎需要的等级,转1次需要150级,每一级加20等级 美化江湖论坛及江湖风格 后台处理了一些必填字段不能更新的问题,比如w1如果为空需要打入空格才行,现在不用了! 轩辕需要等级会员才能使用,只能对30级以上使用,吸取的东西加入判断,吸到0为止 论坛采用惩罚模式,删除回贴扣除复贴人2个金币,删除主
  3. 所属分类:专业指导

    • 发布日期:2005-07-10
    • 文件大小:0
    • 提供者:chenxh
  1. 枫叶江湖 v4.0

  2. 枫叶V4.0初始版本升级和修正功能说明: 修改了用户注册文件,增加了安全性 增加了数据库路径管理 重写了重启江湖文件 后台增加: 备份数据库 账号急救 组件探测 环境变量 空间探测 修改了清理房屋文件,以前的有点有问题,不过没有错误 增加了许多常用SQL指令,方便新站长使用 增加了后台二道密码,总站长可以在后台修改,增加了江湖后台安全性 修改了正站长可以踢所有人 设置了限制一机多号|禁止代理ip的开关,在config.asp可以设置开启或关闭 设置了防刷新机制,刷新时间可以在config.as
  3. 所属分类:C#

    • 发布日期:2005-05-28
    • 文件大小:0
    • 提供者:chenxh
  1. 枫叶江湖 v4.0

  2. 枫叶V4.0初始版本升级和修正功能说明: 修改了用户注册文件,增加了安全性 增加了数据库路径管理 重写了重启江湖文件 后台增加: 备份数据库 账号急救 组件探测 环境变量 空间探测 修改了清理房屋文件,以前的有点有问题,不过没有错误 增加了许多常用SQL指令,方便新站长使用 增加了后台二道密码,总站长可以在后台修改,增加了江湖后台安全性 修改了正站长可以踢所有人 设置了限制一机多号|禁止代理ip的开关,在config.asp可以设置开启或关闭 设置了防刷新机制,刷新时间可以在config.as
  3. 所属分类:C#

    • 发布日期:2005-05-16
    • 文件大小:0
    • 提供者:chenxh
  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. 调功率放大器 幅系数的计算分析

  2. 调幅发射机的设计指导 技术指标:载波频率f0 =10MHZ,载波频率稳定度不低于10-3,输出负载RL=50Ω,总的输出功率PA=200mW,调幅系数平均值ma=30%。 调制频率F=20Hz~20kHz.。 本设计可提供的器件如下,参数见附录。 高频小功率晶体管 、集成模拟乘法器 (XCC , MC1496 )、高频磁环 、运算放大器 (A741 )、 集成振荡器(E1648 ) [/U]本的小功率调幅发射机的设计和安装调试。 一.调幅发射机的设计原则 (一)方框图 图1为最基本的调幅发射机
  3. 所属分类:C

    • 发布日期:2010-07-03
    • 文件大小:67584
    • 提供者:zgfabc
  1. VHDL写的几个示例源码

  2. VHDL的几个范例,全部是实例加源码,有加法器的,半加器等,也包括测试和demo源码。
  3. 所属分类:硬件开发

    • 发布日期:2010-11-16
    • 文件大小:1048576
    • 提供者:kamully
  1. EDA—EDA技术实用教程

  2. 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
  3. 所属分类:硬件开发

    • 发布日期:2010-11-19
    • 文件大小:8388608
    • 提供者:sundyqt
  1. MSP430系列单片机原理及应用

  2. MSP430 系列单片机的迅速发展和应用范围的不断扩大,主要取决于以下的特点。   强大的处理能力 MSP430 系列单片机是一个 16 位的单片机,采用了精简指令集(RISC)结构,具有丰富的寻址方式( 7 种源操作数寻址、 4 种目的操作数寻址)、简洁的 27 条内核指令以及大量的模拟指令;大量的寄存器以及片内数据存储器都可参加多种运算;还有高效的查表处理指令;有较高的处理速度,在 8MHz 晶体驱动下指令周期为 125 ns 。这些特点保证了可编制出高效率的源程序。   在运算速度方面,
  3. 所属分类:硬件开发

    • 发布日期:2010-11-24
    • 文件大小:4194304
    • 提供者:zf13673123373
  1. 数字系统设 计实验指导书.doc

  2. 第一章 MAX+PLUS II开发软件简介 ................................3 1.1 MAX+PLUS 软件的功能................................................ 3 1.1.1 MAX+PLUS II的组成 ................................................. 3 1.1.2 MAX+PLUS II的VHDL设计资源 ........................
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:1048576
    • 提供者:bhanzdan
  1. MSP430单片机实用技术讲座

  2. 在运算速度方面,MSP430系列单片机能在8 MHz晶体的驱动下,实现125 μs的指令周期。16位的数据宽度、125 μs的指令周期以及多功能的硬件乘法器(能实现乘加)相配合,能实现数字信号处理的某些算法(如FFT等
  3. 所属分类:硬件开发

    • 发布日期:2011-03-05
    • 文件大小:56320
    • 提供者:sjdcz
  1. VHDL做的彩灯和计数器

  2. 通过用VHDL语言设计各个模块,然后把各个模块生成原理图,再把各个模块组合起来,以实现能两位动态显示(串行)16进制减法器,并总体仿真及加载内部连接网线、总线定义引脚,下载到硬件实现它的功能。 通过自己个人去探索,按照自顶向下的设计方法,一个有4种显示模式的彩灯控制器。可通过按扭选择根据时钟控制四种模式循环变化,每种模式工作3秒。并可通过按扭选择、设置4种模式中的一种工作模式运行。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-26
    • 文件大小:309248
    • 提供者:zhuxishanren
  1. 8位行波进位加减法器

  2. 设计一款能够完成8位补码加减法运算的ALU。
  3. 所属分类:专业指导

    • 发布日期:2012-02-18
    • 文件大小:199680
    • 提供者:qinjianyuan
  1. ice40-prim:莱迪思iCE40 Primitive IP-源码

  2. ice40-prim 莱迪思iCE40 Primitive IP 支持的IP模块 有关更多信息,请参见《 sysMem单端口RAM内存(SPRAM) 每个SPRAM块为16k x 16(256 kbit) 16位数据宽度,带有半字节门禁控制 级联设计,可实现更深/更广的SPRAM 三种电源模式,待机,睡眠和关闭电源 有关更多信息,请参见 16位x 16位乘法器,或两个独立的8位x 8位乘法器 输入寄存器,输出寄存器和中间寄存器上的可选独立流水线控制可提高时钟性能 32位累加器,或两个
  3. 所属分类:其它

    • 发布日期:2021-03-29
    • 文件大小:25600
    • 提供者:weixin_42135773
  1. Loeffler DCT加速器,用于小型便携式设备

  2. 这封信提出了一种用于小型便携式设备的高效的一维(1D)八点Loeffler离散余弦变换(DCT)硬件加速器。 对于连续的一维输入数据流,加速器仅使用13个加法器,并且每个时钟周期可以计算一个DCT系数,这是考虑的应用程序的最佳吞吐量。 实现结果表明,该加速器可以支持实际视频序列的实时编码,并且可以作为小型便携式应用程序的不错选择。
  3. 所属分类:其它

    • 发布日期:2021-03-28
    • 文件大小:131072
    • 提供者:weixin_38700430
  1. VHDL-Programs-源码

  2. VHDL程序 程序1:->使用数据流建模的基本门。 程序2:->使用行为(BM)的半加法器。 ->使用数据流(DFM)的半加器。 ->半加法器结构建模(SM)。 程序3:->->使用数据流建模的完全加法器。 ->使用结构建模的4位并行加法器。 程序5:-> D-锁存模拟-> SR-使用“或非”门锁存-> SR-使用“与非”门锁存 程序7:->具有同步复位的D型触发器->具有异步复位的D型触发器 程序8:->设计SR触发
  3. 所属分类:其它

    • 发布日期:2021-03-21
    • 文件大小:52224
    • 提供者:weixin_42127775
« 1 2 ... 45 46 47 48 49 50»